PLASMA ENHANCED CHEMICAL VAPOR DEPOSITION (PECVD) SOP OXFORD PLASMALAB SYSTEM 100

Size: px
Start display at page:

Download "PLASMA ENHANCED CHEMICAL VAPOR DEPOSITION (PECVD) SOP OXFORD PLASMALAB SYSTEM 100"

Transcription

1 PLASMA ENHANCED CHEMICAL VAPOR DEPOSITION (PECVD) SOP OXFORD PLASMALAB SYSTEM 100 June 2013 Interface Overview. The Oxford software is divided into 5 main screens. 1) Pump Control page 2) Recipe page 3) System Log page 4) Chamber1 Process Control page 5) Service mode There are several informational items that are common across all interface screens (Fig.1). a) Main Menu b) Screen Title c) Stop All Auto Processes This acts as a soft emergency stop for the system. Using this method resets the internal system controller, stopping all running processes. THIS CANNOT BE USED IF THE LOADLOCK ARM IS INSIDE THE CHAMBER. DOING SO COULD DAMAGE THE GATE VALVE BETWEEN THE LOADLOCK AND MAIN CHAMBER. d) System Status Indicators If any indicators are red the system will not operate. 1) Pump Control page Fig. 1: Pump Control page. Use this screen to control both the loadlock and main system pumps. The left half of the screen is dedicated to the loadlock, the right half to the process chamber.

2 2) Recipe page Fig. 2: Recipe Editor. This screen is used for building/editing recipes and selecting the wafer handling mode, automatic or manual. 3) System Log page Fig. 3: Typical system log page. A filter facility allows the viewed events to be displayed by event type and time of occurrence.

3 4) Chamber 1 Process Control page Fig. 4: Chamber 1. This screen shows the current status of all major subsystems. 5) Service mode page Fig. 5: Service mode. This page is used during maintenance to manually control system components, also used to manually transfer wafer between the Automatic loadlock and process chamber. To exit from service mode, select SYSTEM MENU>>EXIT SERVICE option, the following dialog box is displayed on Fig. 5 (right), and then click OK.

4 Sample Loading 1) Vent the loadlock. SYSTEM MENU>>PUMPING button, then click STOP, then VENT on the loadlock. (Be Careful to Not vent the chamber. The loadlock is on the left; the chamber is on the right, and must stay under vacuum unless safety precautions are taken.) The airlock vent takes about 4 min. 2) Lift the airlock lid and place your 4 wafer in the loadlock fork. Make sure your wafer is seated properly between the posts on the arm. 3) Gently close the lockload door. 4) Pump the airlock to vacuum. SYSTEM MENU>>PUMPING button, then on the left, loadlock side, click STOP, and then EVACUATE. 5) Enter your wafer ID in the dialog box. You will be able to identify your wafer run in the system log by this ID. 6) Wait until both the loadlock and process chamber have reached a vacuum level suitable for transfer, as indicated by green bidirectional arrow indicators shown in both respective regions on the screen. Automatic Run 1) Go to the recipes screen: PROCESS MENU>>RECIPES BUTTON. 2) Make sure the mode indicator in the upper left corner is selected as AUTOMATIC. 3) Click Load button. You may be prompted to OVERWRITE CURRENT RECIPE <X>? Click YES, as this refers to overwriting the recipe in memory, not the recipe on disk. 4) Choose your recipe file from the list. Click OK. 5) Verify the recipe parameters in each step of your recipe. This is a good practice as there is no recipe protection on this system. 6) Verify that the Gas Valves for shared gas channels and Gas Factors for all gasses you are using are set properly. 7) Click the RUN button. The wafer will transfer into the chamber and the etch process will start. 8) Wait for the etch process to complete and the chamber to pump to base pressure. A YELLOW ALERT will display telling you the process is complete. Click OK. 9) The wafer will be unloaded back to the loadlock, and a dialog box will indicate PROCESS COMPLETED OK. 10) Vent the loadlock. SYSTEM MENU>>PUMPING button, then click STOP, acknowledge the confirmation message that usually appears, and then click VENT on the loadlock (Be Careful to not vent the chamber. The loadlock is on the left; the chamber is on the right.) The airlock vent takes 4 min. 11) Lift the airlock lid and remove your wafer. If you have further wafers to etch, load your next wafer and repeat the above steps.

5 12) If you have completed your last wafer, evacuate the loadlock to vacuum. SYSTEM MENU>>PUMPING button, and then click EVACUATE. If there is no wafer in the loadlock, click the CANCEL button when prompted for the Wafer ID. Recipe Editing Recipe editing is mostly straightforward. A minimal recipe will always contain at least 2 steps: 1) Initialization Step It s important to have a simple initial step, which sets the table temperature, so that the deposition process will not begin until the stage is at the desired temperature and the chamber has reached your desired base pressure. 2) Deposition Step In this step, all of the deposition parameters are set. The parameters that need to be defined include: - Deposition gas (es) selection with flow rate for each. Units are SCCM - Chamber pressure, measured in millitorr, or mt. - Deposition Process Time, in Hours:Minutes:Seconds. - ICP Power, in Watts. Typical powers in the 500 to 2000 W range. - Substrate RF Power, in Watts. Typical substrate power is in the 10 to 100 W range. - Etc. Chamber Cleaning NOTE: Perform ONLY when the chamber is contaminated. To provide a consistent environment for your deposition process, you must clean the process chamber to remove contamination from previous film deposition processes (premature flaking or build-up of insulation layer). 1) Before starting the cleaning process don t forget to switch Step Up Transformer from 1400 to 180 (or 300) position. Fig. 6: Step Up Transformer

6 A basic chamber cleaning recipe found on the system is OPT 180 CHAMBER CLEAN. 2) After chamber cleaning process is finished, chamber can be vented. SYSTEM MENU>>PUMPING button, then click STOP, then VENT on the chamber pump control. 3) Remove 3 electrodes covers and vertical ceramic radiation shield around lower electrode (use gloves because all the parts are hot ~200 C). 4) Use vacuum cleaner to remove remaining contamination followed by wet clean process. Wipe the showerhead, chamber walls and lower electrode with cloth + IPA alcohol (Don t apply IPA directly to cleaning parts).

Plasma-Therm PECVD. Operating Characteristics. Operating Instructions. Typical Processes. I. Loading. II. Operating

Plasma-Therm PECVD. Operating Characteristics. Operating Instructions. Typical Processes. I. Loading. II. Operating Plasma-Therm PECVD A PECVD (plasma enhanced chemical vapor deposition) reacts gases in a RF (radio frequency) induced plasma to deposit materials such as silicon dioxide and silicon nitride. This PECVD

More information

STS ICP-RIE. Scott Munro (2-4826,

STS ICP-RIE. Scott Munro (2-4826, STS ICP-RIE LOCATION: Plasma Etch Area PRIMARY TRAINER: Scott Munro (2-4826, email@address.com) 1. OVERVIEW The STS ICP-RIE is available to users who require deep anisotropic silicon etching with near

More information

Arizona State University Center for Solid State Electronic Research. Table of Contents. Issue: C Title: Oxford Plasmalab 80plus (Floey) Page 1 of 8

Arizona State University Center for Solid State Electronic Research. Table of Contents. Issue: C Title: Oxford Plasmalab 80plus (Floey) Page 1 of 8 Title: Oxford Plasmalab 80plus (Floey) Page 1 of 8 Table of Contents 1.0 Purpose/Scope... 2 2.0 Reference Documents... 2 3.0 Equipment/Supplies/Material... 2 4.0 Safety... 2 5.0 Set Up Procedures... 2

More information

OXFORD PLASMALAB 80PLUS (CLOEY)

OXFORD PLASMALAB 80PLUS (CLOEY) Arizona State University NanoFab OXFORD PLASMALAB 80PLUS (CLOEY) Rev D Table of Contents Contents Table of Contents...1 1. Purpose / Scope...2 2. Reference Documents...2 3. Equipment / Supplies / Material...2

More information

STS PECVD Instructions

STS PECVD Instructions STS PECVD Instructions I. Introduction A PECVD (Plasma Enhanced Chemical Vapor Deposition) reacts gases in a RF- (Radio Frequency) - induced plasma to deposit materials such as SiO 2 and Si X N Y. This

More information

Unaxis PECVD. SiH4 (5% in He)

Unaxis PECVD. SiH4 (5% in He) Unaxis PECVD Table of Contents: I: Introduction II: Machine Specifications III: System Components IV: Deposited Materials and Precursor Gases V: Operating Instructions VI: Creating a Recipe VII: Troubleshooting

More information

Nanofabrication Facility: PECVD SOP Rev. 00, April 24

Nanofabrication Facility: PECVD SOP Rev. 00, April 24 Author: Charlie Yao & Mario Beaudoin Email: charlieyao@gmail.com; Beaudoin@physics.ubc.ca Phone: 604-822-1853(MB). Purpose This document outlines the standard operation for the Trion Plasma Enhanced Chemical

More information

Edge Isolation Tool. Standard Operating Procedure. Version 1.1. Date: Prepared by, Sandeep S S. Department of Electrical Engineering

Edge Isolation Tool. Standard Operating Procedure. Version 1.1. Date: Prepared by, Sandeep S S. Department of Electrical Engineering Edge Isolation Tool Standard Operating Procedure Version 1.1 Date: 20-9-2012 Prepared by, Sandeep S S Department of Electrical Engineering IIT Bombay This document is meant for internal circulation only

More information

Unaxis ICP/RIE SOP Revision 8 09/30/16 Page 1 of 5. NRF Unaxis ICP/RIE Etch SOP

Unaxis ICP/RIE SOP Revision 8 09/30/16 Page 1 of 5. NRF Unaxis ICP/RIE Etch SOP Page 1 of 5 NRF Unaxis ICP/RIE Etch SOP Unaxis Shuttlelock Reactive Ion Etcher with Inductively Coupled Plasma Module. Etch Capabilities: SiO2, Si3N4, Al, dielectrics and other commonly used materials.

More information

Notes-PECVD: Chamber 1

Notes-PECVD: Chamber 1 plasmatherm (EML) STANDARD OPERATING PROCEDURE CORAL Name: Plasmatherm Model Shuttlelock System VII SLR-770/734 Number: Location: EML What it Deposits the following films via Plasma-Enhanced Chemical Vapor

More information

Standard Operating Manual

Standard Operating Manual Standard Operating Manual Branson IPC 3000 O 2 Asher Copyright 2014 by Hong Kong University of Science & Technology. All rights reserved. Page 1 Contents 1. Picture and Location 2. Process Capabilities

More information

University of Minnesota, MN Nano Center Standard Operating Procedure

University of Minnesota, MN Nano Center Standard Operating Procedure Equipment Name: HDPCVD Revision Number: 2 Badger Name: HDPCVD Revisionist: L. von Dissen Model: Advanced Vacuum Date: 10/25/2016 Apex SLR ICP Location: PAN, Bay 3 1 Description The Apex SLR ICP is a high

More information

Xactix XeF2 OPERATION MANUAL

Xactix XeF2 OPERATION MANUAL General Information The Xactix e-1 is a xenon difluoride (XeF 2) isotropic silicon etcher. XeF 2 is a vapor phase etch, which exhibits very high selectivity of silicon to photo-resist, silicon dioxide,

More information

Standard Operating Manual

Standard Operating Manual Standard Operating Manual Oxford Plasmalab 80 Plus Plasma Etcher Page 1 of 24 Contents 1. Picture and Location 2. Process Capabilities 2.1 Cleanliness Standard 2.2 Available Etching Materials 2.3 Performance

More information

JETFIRST 150 RTA SYSTEM OPERATING MANUAL Version: 2 Feb 2012

JETFIRST 150 RTA SYSTEM OPERATING MANUAL Version: 2 Feb 2012 JETFIRST 150 RTA SYSTEM OPERATING MANUAL Version: 2 Feb 2012 UNIVERSITY OF TEXAS AT ARLINGTON Nanofabrication Research and Teaching Facility TABLE OF CONTENTS 1. Introduction....2 1.1 Scope of Work.....2

More information

Xactix Xenon Difluoride Etcher

Xactix Xenon Difluoride Etcher Xactix Xenon Difluoride Etcher 1 Introduction This tool is a Xactix e1 series XeF2 (Xenon Difluoride) based vapor phase etch system for isotropic and selective silicon etching. The XeF2 reaction with silicon

More information

Usage Policies Notebook for Trion RIE / ICP Dry Etch

Usage Policies Notebook for Trion RIE / ICP Dry Etch Usage Policies Notebook for Trion RIE / ICP Dry Etch Revision date September 2014 2 Emergency Plan for Trion RIE/ICP Dry Etch Standard Operating Procedures for Emergencies Contact information Person Lab

More information

Trion PECVD SOP IMPORTANT: NO PLASTIC, TAPE, RESISTS, OR THERMAL PASTE ARE ALLOWED IN THE CHAMBER

Trion PECVD SOP IMPORTANT: NO PLASTIC, TAPE, RESISTS, OR THERMAL PASTE ARE ALLOWED IN THE CHAMBER Trion PECVD SOP IMPORTANT: NO PLASTIC, TAPE, RESISTS, OR THERMAL PASTE ARE ALLOWED IN THE CHAMBER CAUTION: THE CHAMBER PLATE GETS EXTREMELY HOT Start Up Procedure 1) Open bottle and regulator for Helium,

More information

Title: Xactix XeF2 Etcher Semiconductor & Microsystems Fabrication Laboratory Revision: A Rev Date: 03/23/2016

Title: Xactix XeF2 Etcher Semiconductor & Microsystems Fabrication Laboratory Revision: A Rev Date: 03/23/2016 Approved by: Process Engineer / / / / Equipment Engineer 1 SCOPE The purpose of this document is to detail the use of the Xactix XeF2 Etcher. All users are expected to have read and understood this document.

More information

R I T. Title: STS ASE Semiconductor & Microsystems Fabrication Laboratory Revision: Original Rev Date: 01/21/ SCOPE 2 REFERENCE DOCUMENTS

R I T. Title: STS ASE Semiconductor & Microsystems Fabrication Laboratory Revision: Original Rev Date: 01/21/ SCOPE 2 REFERENCE DOCUMENTS Approved by: Process Engineer / / / / Equipment Engineer 1 SCOPE The purpose of this document is to detail the use of the STS ASE. All users are expected to have read and understood this document. It is

More information

University of MN, Minnesota Nano Center Standard Operating Procedure

University of MN, Minnesota Nano Center Standard Operating Procedure Equipment name: STS Etcher Badger name: STS Revision number: 3 Model: 320 Revisionist: Paul Kimani Location: Bay 3 Date: 1 October 2013 A. Description The 320 is a manually loaded batch plasma etching

More information

Operating Procedures for the. SAMCO ICP RIE System

Operating Procedures for the. SAMCO ICP RIE System Operating Procedures for the SAMCO ICP RIE System General Overview: The purpose of the SAMCO Model 200iP Inductively Coupled Plasma Reactive Ion Etcher (ICP RIE) is to etch III-V compound semiconductors

More information

March CS-1701F Reactive Ion Etcher

March CS-1701F Reactive Ion Etcher March CS-1701F Reactive Ion Etcher Standard Operating Procedure Faculty Supervisor: Prof. Robert White, Mechanical Engineering (x72210) Safety Office: Peter Nowak x73246 (Just dial this directly on any

More information

Arizona State University NanoFab XACTIX ETCHER. Rev A

Arizona State University NanoFab XACTIX ETCHER. Rev A Arizona State University NanoFab XACTIX ETCHER Rev A Table of Contents Contents Table of Contents... 1 1. Purpose / Scope... 2 2. Reference Documents... 2 3. Equipment / Supplies / Material... 2 4. Safety...

More information

Standard Operating Manual

Standard Operating Manual Standard Operating Manual Denton Explorer 14 RF/DC Sputter Version 1.0 Page 1 of 11 Contents 1. Picture and Location 2. Process Capabilities 1. Cleanliness Standard 2. Available for Sputtering Materials

More information

Angstrom Dielectric Sputterer Operation Manual

Angstrom Dielectric Sputterer Operation Manual Angstrom Dielectric Sputterer Operation Manual I. System overview The Angstrom Dielectric Sputterer (ADS) has a similar interface as the Angstrom metal sputterer. It has two screens, the process screen

More information

Standard Operating Manual

Standard Operating Manual Standard Operating Manual ARC12M Sputter Copyright 11.2015 by Hong Kong University of Science & Technology. All rights reserved. Page 1 Contents 1. Picture and Location 2. Process Capabilities 2.1 Cleanliness

More information

Usage Policies Notebook for AMST Molecular Vapor Deposition System MVD 100

Usage Policies Notebook for AMST Molecular Vapor Deposition System MVD 100 Usage Policies Notebook for AMST Molecular Vapor Deposition System MVD 100 Revision date September 2014 2 Emergency Plan for AMST MVD 100 Standard Operating Procedures for Emergencies Contact information

More information

Unifilm Technology PVD-300 Sputter Deposition Operation Instructions

Unifilm Technology PVD-300 Sputter Deposition Operation Instructions Unifilm Technology PVD-300 Sputter Deposition Operation Instructions Contributors: Devin Brown, Kevin Klein, Ben King, Eric Woods Anything that is BOLD UNDERLINED ITALICS means that you should press that

More information

Savannah S100 ALD at SCIF, UC Merced Standard operating Procedure

Savannah S100 ALD at SCIF, UC Merced Standard operating Procedure This document covers the procedure that should be followed for normal operation of the Cambridge NanoTech: Savannah S100 (Atomic Layer Deposition ALD). This tool is design to be used with whole 4inch wafers.

More information

Standard Operating Manual

Standard Operating Manual Standard Operating Manual Branson IPC 3000 O 2 Asher Page 1 of 14 Contents 1 Picture and Location 2 Process Capabilities 2.1 Cleanliness Standard 2.2 Recipes 2.3 Performance of Branson IPC 3000 O 2 Asher

More information

Standard Operating Manual

Standard Operating Manual NANOELECTRONICS FABRICATION FACILITY (NFF), HKUST Standard Operating Manual STS ICP-DRIE Copyright 2014 by Hong Kong University of Science & Technology. All rights reserved. Page 1 NANOELECTRONICS FABRICATION

More information

Basic ICP Operating Procedures

Basic ICP Operating Procedures Center for High Technology Materials 2 February, 2009 University of New Mexico Created by Beth Fuchs Basic ICP Operating Procedures INTRODUCTION: The ICP is an inductively coupled plasma etching system,

More information

Nordiko Metal Sputtering System Standard Operating Procedure

Nordiko Metal Sputtering System Standard Operating Procedure Nordiko Metal Sputtering System Standard Operating Procedure Specifications : Target Size Gases used in the system Base pressure Sputtering pressure Substrates used Substrate size : 2 inch or 4 inch :

More information

SSI Solaris 150 RTA Revision /27/2016 Page 1 of 9. SSI Solaris 150 RTA

SSI Solaris 150 RTA Revision /27/2016 Page 1 of 9. SSI Solaris 150 RTA Page 1 of 9 SSI Solaris 150 RTA The Solaris 150 RTA is a rapid thermal annealing system capable of handling sample sizes up to 100mm (4 diameter) or smaller. The system can anneal in N 2 and Forming gas

More information

University of MN, Minnesota Nano Center Standard Operating Procedure

University of MN, Minnesota Nano Center Standard Operating Procedure Equipment Name: University of MN, Minnesota Nano Center Deep Trench Etcher Badger Name: deeptrench Revision Number: 9 Model: SLR -770 Sofware Version: CORTEX v4.5 Revisionists: Paul Kimani Location: Bay

More information

Issue: H Title: CHA E-Beam Evaporator Page 1 of 7. Table of Contents

Issue: H Title: CHA E-Beam Evaporator Page 1 of 7. Table of Contents Title: CHA E-Beam Evaporator Page 1 of 7 Table of Contents Purpose/Scope... 2 2.0 Reference Documents... 2 3.0 Equipment/Supplies/Material... 2 4.0 Safety... 2 5.0 Set Up Procedures... 2 5.1 PC Logon and

More information

The MRL Furnaces USED FOR THIS MANUAL COVERS

The MRL Furnaces USED FOR THIS MANUAL COVERS The MRL Furnaces USED FOR 1. Making Silicon Oxide films from 10nm to 2um thickness 2. Annealing with temperatures from 30 800C and times from 5min to 2days 3. Diffusion with temperatures from 30 1100C

More information

Thermo K-Alpha XPS Standard Operating Procedure

Thermo K-Alpha XPS Standard Operating Procedure Thermo K-Alpha XPS Standard Operating Procedure Quick Guide Draft v.0.1 Procedure overview 1. Vent the loadlock 2. Secure your sample to the stage using clips, check the height of the final assembly. 3.

More information

Oerlikon Sputtering Evaporator SOP

Oerlikon Sputtering Evaporator SOP Oerlikon Sputtering Evaporator SOP Short UNT Cleanroom 1. Taking out sample holder from Transport Chamber : Log in FOM to access the software Go to the software and log in with user1 and password user1

More information

Standard Operating Manual

Standard Operating Manual Standard Operating Manual Allwin21 AW610 RTP Page 1 of 18 Contents 1 Picture and Location 2 Process Capabilities 2.1 Cleanliness Standard 2.2 Recipes 2.3 Performance of Allwin21 AW610 RTP 3 Contact List

More information

Standard Operating Procedure. For. PVD E-Beam

Standard Operating Procedure. For. PVD E-Beam P a g e 1 Standard Operating Procedure For PVD E-Beam P a g e 2 Introduction The PVD Electron-Beam Evaporator (E-Beam) thin film deposition machine uses a magnetically guided and collimated stream of electrons

More information

QUORUM TECH 150RES THE FIRST AND THIRD WEEK WILL BE SET UP FOR CARBON COATING THE SECOND AND LAST WEEK WILL BE SET UP FOR GOLD COATING

QUORUM TECH 150RES THE FIRST AND THIRD WEEK WILL BE SET UP FOR CARBON COATING THE SECOND AND LAST WEEK WILL BE SET UP FOR GOLD COATING QUORUM TECH 150RES This document is intended to describe the function and use of the QuorumTech Q150RES system. Formal training and qualification by staff is required before gaining access to the tool.

More information

Arizona State University NanoFab PLASMATHERM 790 RIE. Version A

Arizona State University NanoFab PLASMATHERM 790 RIE. Version A rizona State University NanoFab PLSMTHERM 790 RIE Version SU NanoFab Title: PLSMTHERM 790 RIE Table of Contents Contents Table of Contents...1 1. Purpose / Scope...2 2. Reference Documents...2 3. Equipment

More information

Usage Policies Notebook for NanoFurnace Furnace (EasyTube 3000 System)

Usage Policies Notebook for NanoFurnace Furnace (EasyTube 3000 System) Usage Policies Notebook for NanoFurnace Furnace (EasyTube 3000 System) Revision date October 2014 2 Emergency Plan for Nano Furnace Standard Operating Procedures for Emergencies Contact information Person

More information

COMELEC C-30-S Parylene Coating System

COMELEC C-30-S Parylene Coating System COMELEC C-30-S Parylene Coating System Comelec C-30-S Parylene deposition system Introduction Parylene is a polymere deposited at room temperature in a vacuum chamber (few µb). Parylene coating is perfectly

More information

Plasma II (AXIC) Standard Operating Procedure. Revision: 1.0 Last Updated: Feb.6/2013, Revised by Grace Li

Plasma II (AXIC) Standard Operating Procedure. Revision: 1.0 Last Updated: Feb.6/2013, Revised by Grace Li Plasma II (AXIC) Standard Operating Procedure Revision: 1.0 Last Updated: Feb.6/2013, Revised by Grace Li Overview This document will provide a detailed operation procedure of the Axic Multimode LF-6 plasma

More information

Nanofabrication Facility: ECR Etcher SOP Rev. 01b, March 06. Standard Operating Procedure for PlasmaQuest ECR II Etching

Nanofabrication Facility: ECR Etcher SOP Rev. 01b, March 06. Standard Operating Procedure for PlasmaQuest ECR II Etching Standard Operating Procedure for PlasmaQuest ECR II Etching Authors: Rev. 00: Al Schmalz, Vighen Pacradouni and Jeff Young, December 21, 1998 Rev. 01: Dr. Andras G. Pattantyus-Abraham, May 24, 2005 Rev.

More information

5.1.3 Mechanical Hazards Drive assemblies have sufficient power to cause injury. Keep hands, fingers, clothing and tools clear of moving parts.

5.1.3 Mechanical Hazards Drive assemblies have sufficient power to cause injury. Keep hands, fingers, clothing and tools clear of moving parts. Approved by: Process Engineer / / / / Equipment Engineer 1 SCOPE The purpose of this document is to detail the use of the PE4400. All users are expected to have read and understood this document. It is

More information

MANUAL FOR SPTS APS (DIELECTRICS ETCHER)

MANUAL FOR SPTS APS (DIELECTRICS ETCHER) MANUAL FOR SPTS APS (DIELECTRICS ETCHER) To be read first: SPTS APS is an etcher dedicated to dielectrics (SiO 2, Si 3 N 4, glass types...). Dielectrics etching in AMS200 is no more CMi standard. AMS200

More information

MJB4 Mask Aligner Operating Procedure. Effective Date: 07/12/2012 Author(s): Jiong Hua Phone:

MJB4 Mask Aligner Operating Procedure. Effective Date: 07/12/2012 Author(s): Jiong Hua Phone: MJB4 Mask Aligner Operating Procedure Effective Date: 07/12/2012 Author(s): Jiong Hua Phone: 402-472-3773 Email: jhua2@unl.edu 1 1 Introduction 1.1 Key Words Karl Suss MJB4 Mask Aligner, Optical Lithography,

More information

Apollo Safety Features

Apollo Safety Features Overview of Emergency Start Mains Power Failure Gas Supply Failure Ventilator Failure Fresh Gas Delivery Failure Ventilator and Fresh Gas Delivery Failure Display Failure User Interface Failure System

More information

Plasma 1 (Technics) Standard Operating Procedure. Revision: 1.0 Last Updated: Feb.5/2013, Revised by Grace Li

Plasma 1 (Technics) Standard Operating Procedure. Revision: 1.0 Last Updated: Feb.5/2013, Revised by Grace Li Plasma 1 (Technics) Standard Operating Procedure Revision: 1.0 Last Updated: Feb.5/2013, Revised by Grace Li Overview This document will provide a detailed operation procedure of the Technics PE II-A plasma

More information

1.1 Equipment: substrate, wafer tweezers, metal targets 1.2 Personal Protective Equipment: nitrile gloves, safety glasses 1.

1.1 Equipment: substrate, wafer tweezers, metal targets 1.2 Personal Protective Equipment: nitrile gloves, safety glasses 1. Nanomaster NSC-3000 DC Magnetron Sputter Tool Standard Operating Procedure Faculty Supervisor: Prof. Robert White, Mechanical Engineering (x72210) Safety Office: Peter Nowak x73246 (Just dial this directly

More information

NORDSON MARCH PX-1000 PLASMA ASHER STANDARD OPERATING PROCEDURE Version: 1.0 July 2016

NORDSON MARCH PX-1000 PLASMA ASHER STANDARD OPERATING PROCEDURE Version: 1.0 July 2016 NORDSON MARCH PX-1000 PLASMA ASHER STANDARD OPERATING PROCEDURE Version: 1.0 July 2016 UNIVERSITY OF TEXAS AT ARLINGTON Nanotechnology Research Center TABLE OF CONTENTS 1. Introduction..3 1.1 Scope of

More information

Cambridge NanoTech: Savannah S100. Table of Contents

Cambridge NanoTech: Savannah S100. Table of Contents Table of Contents 1.0 Purpose/Scope... 2 2.0 Reference Documents... 2 3.0 Equipment/Supplies/Material... 2 4.0 Safety... 2 5.0 Logbook... 3 6.0 Login and Launch Software... 3 7.0 Session Setup... 3 8.0

More information

Plasma Asher: March PX-500 User guide (May-30, 2017)

Plasma Asher: March PX-500 User guide (May-30, 2017) Plasma Asher: March PX-500 User guide (May-30, 2017) This is a highly versatile plasma etch tool that can etch using a direct plasma configuration (Oxygen plasma cleaner), a downstream plasma (Remote plasma),

More information

Usage Policies Notebook for STS DRIE System

Usage Policies Notebook for STS DRIE System Usage Policies Notebook for STS DRIE System Revision date September 2014 2 Emergency Plan for STS DRIE System Standard Operating Procedures for Emergencies Contact information Person Lab Manager Director

More information

Usage Policies Notebook for Xenon Difluoride (XeF 2 ) Isotropic Si Etch

Usage Policies Notebook for Xenon Difluoride (XeF 2 ) Isotropic Si Etch Usage Policies Notebook for Xenon Difluoride (XeF 2 ) Isotropic Si Etch Revision date September 2014 2 Emergency Plan for XeF 2 Si Etcher Standard Operating Procedures for Emergencies Contact information

More information

SPUTTER STATION STANDARD OPERATING PROCEDURE

SPUTTER STATION STANDARD OPERATING PROCEDURE SPUTTER STATION STANDARD OPERATING PROCEDURE Purpose of this Instrument: This instrument is used for deposition of thin metal or oxide films. Source materials supplied by WVU Shared Research Facilities:

More information

Revised: June 7, 2017

Revised: June 7, 2017 LC Technologies Thermal Evaporator Standard Operating Procedure Faculty Supervisor: Prof. Robert White, Mechanical Engineering (x72210) Safety Office: Peter Nowak x73246 (Just dial this directly on any

More information

Approved by Principal Investigator Date: Approved by Super User: Date:

Approved by Principal Investigator Date: Approved by Super User: Date: Approved by Principal Investigator Date: Approved by Super User: Date: Standard Operating Procedure BNC Commonwealth Dual Ion Beam Deposition System (CDIBS) Version 2010 February 14 I. Purpose This Standard

More information

Scanning Electron Microscope JEOL JSM F

Scanning Electron Microscope JEOL JSM F Scanning Electron Microscope JEOL JSM - 7600F How to Use This Manual You will be promised to obtain successful results if you follow this step by step manual gently and carefully. In order to that, you

More information

Diver-Office. Getting Started Guide. 2007, Schlumberger Water Services

Diver-Office. Getting Started Guide. 2007, Schlumberger Water Services Diver-Office Getting Started Guide 2007, Schlumberger Water Services Copyright Information 2007 Schlumberger Water Services. All rights reserved. No portion of the contents of this publication may be reproduced

More information

LEO SEM SOP Page 1 of 9 Revision 1.4 LEO 440 SEM SOP. Leica Leo Stereoscan 440i

LEO SEM SOP Page 1 of 9 Revision 1.4 LEO 440 SEM SOP. Leica Leo Stereoscan 440i LEO SEM SOP Page 1 of 9 LEO 440 SEM SOP Gun (Filament) Column Manual Valves Chamber Window Chamber Stage Movement Leica Leo Stereoscan 440i 1. Scope 1.1 This document provides the procedure for operating

More information

Chapter 2 General description of the system

Chapter 2 General description of the system Chapter 2 General description of the system This system is a high density plasma etching system having a C to C load-lock system and an ISM (Inductive Super Magnetron) plasma source. The system consists

More information

March Asher Operation

March Asher Operation March Asher Operation Roger Robbins 7/31/2006 The University of Texas at Dallas Erik Jonsson Engineering School of Engineering TITLE: March Asher Operation Page 1 of 13 March Asher Operation Roger Robbins

More information

STS DRIE User SOP Location: NRF Cleanroon, Dry Etch Bay

STS DRIE User SOP Location: NRF Cleanroon, Dry Etch Bay Page 1 of 20 STS DRIE User SOP Location: NRF Cleanroon, Dry Etch Bay Page 2 of 20 STS DRIE SOP The STS DRIE is an Inductively Coupled Plasma Process etcher designed and configured to etch deep high aspect

More information

Arizona State University Center for Solid State Electronics Research Issue: E Title: Heat Pulse 610 Operating Procedure Page 1 of 7

Arizona State University Center for Solid State Electronics Research Issue: E Title: Heat Pulse 610 Operating Procedure Page 1 of 7 Title: Heat Pulse 610 Operating Procedure Page 1 of 7 Table of Contents 1.0 Purpose/Scope... 2 2.0 Reference Documents... 2 2.1 Heat Pulse Operations Manual... 2 2.2 Solaris software Operations Manual...

More information

Angstrom E-Beam Instructions. PROCESS CHECKS: the tooling factors of each metal; Ti/Au layer for wire bonding pull test.

Angstrom E-Beam Instructions. PROCESS CHECKS: the tooling factors of each metal; Ti/Au layer for wire bonding pull test. Angstrom E-Beam Instructions Tool Manager: Joe Palmer (jpalmer@princeton.edu) Office: 8-4706; Cell:609-751-1353 Backup: David Barth (dbarth@princeton.edu) Office: 8-4626; Cell: 610-405-8227 PROCESS CHECKS:

More information

Using the Akta Prime plus October 22, 2012

Using the Akta Prime plus October 22, 2012 Some starting precautions: 1. Vacuum filter all buffers. Removes any large particles/debris that may clog your column De-gases the buffers 2. Clarify lysates first by centrifugation and then filtration

More information

Equipment Operating Procedure Glove Box

Equipment Operating Procedure Glove Box Equipment Operating Procedure Glove Box Page 1 0.0 Changing the Compressed Gas Cylinder 1. Complete Compressed Gas Cylinder training from EHS website before manually exchanging gas cylinders. In order

More information

Approved by BNC Managing Director Paul Lum Date: Approved by PI (s) /Super User (s): _Peter Hosemann/Andy Minor_Date:

Approved by BNC Managing Director Paul Lum Date: Approved by PI (s) /Super User (s): _Peter Hosemann/Andy Minor_Date: Approved by BNC Managing Director Paul Lum Date: Approved by PI (s) /Super User (s): _Peter Hosemann/Andy Minor_Date: Standard Operating Procedure BNC FEI Quanta 3D FEG/FIB ESEM Version 2011 Aug 30 I.

More information

University of MN, Minnesota Nano Center Standard Operating Procedure

University of MN, Minnesota Nano Center Standard Operating Procedure Equipment Name: Image Reversal Oven Badger name: ir-oven Revision #: 2 Model: YES 310 Revisionist: Paul Kimani Location: Bay 2 Date: October 29, 2013 1. Description The Yield Engineering Systems YES-310

More information

Operating Procedures for Metal Evaporator I

Operating Procedures for Metal Evaporator I Operating Procedures for Metal Evaporator I Metal Evaporator I is intended as a tool and a training device. Understanding the operation of this equipment should give you a basic knowledge of vacuum and

More information

Plasma Cleaner. Yamato Scientific America. Contents. Innovating Science for Over 125 Years. Gas Plasma Dry Cleaner PDC200/210/510 PDC610G.

Plasma Cleaner. Yamato Scientific America. Contents. Innovating Science for Over 125 Years. Gas Plasma Dry Cleaner PDC200/210/510 PDC610G. Yamato Scientific America Innovating Science for Over 125 Years Plasma Cleaner Contents Gas Plasma Dry Cleaner PDC200/210/510 PDC610G Gas Plasma Reactor 145 146 147 149 144 Gas Plasma Dry Cleaner Plasma

More information

Standard Operating Procedure Inductively Coupled Plasma Optical Emission Spectrometer (ICP-OES) - Thermo Scientific icap 6300

Standard Operating Procedure Inductively Coupled Plasma Optical Emission Spectrometer (ICP-OES) - Thermo Scientific icap 6300 Standard Operating Procedure Inductively Coupled Plasma Optical Emission Spectrometer (ICP-OES) - Thermo Scientific icap 6300 The Thermo Scientific icap 6300 Inductively Coupled Plasma Optical Emission

More information

University of Minnesota Nano Fabrication Center Standard Operating Procedure

University of Minnesota Nano Fabrication Center Standard Operating Procedure Equipment Name: TYLAN Furnace Coral Name: tylan Revision Number: 5 Model: titian Revisionist: Tony Whipple Location: Bay 1 Date: 7 Nov 2006 1 Description The Tylan system is a furnace system for oxidation,

More information

ACCOUNT MANAGER REFERENCE GUIDE

ACCOUNT MANAGER REFERENCE GUIDE ACCOUNT MANAGER REFERENCE GUIDE TABLE OF CONTENTS Account Manager on Computer and Mobile Device PAGE 3: Website and Login Information PAGE 4-8: Managing Your Ticket(s) Using the PGA TOUR App PAGE 9: Downloading

More information

UNIVERSITY OF ROCHESTER MEDICAL CENTER

UNIVERSITY OF ROCHESTER MEDICAL CENTER 1. Purpose/Scope: This procedure defines the operation, maintenance and calibration of the Beckman 2. General Policy: The HIC will adhere to the specific guidelines recommended by Beckman Coulter s instruction

More information

NNCI ETCH WORKSHOP SI DRIE IN PLASMATHERM DEEP SILICON ETCHER. Usha Raghuram Stanford Nanofabrication Facility Stanford, CA May 25, 2016

NNCI ETCH WORKSHOP SI DRIE IN PLASMATHERM DEEP SILICON ETCHER. Usha Raghuram Stanford Nanofabrication Facility Stanford, CA May 25, 2016 NNCI ETCH WORKSHOP SI DRIE IN PLASMATHERM DEEP SILICON ETCHER Usha Raghuram Stanford Nanofabrication Facility Stanford, CA May 25, 2016 PLASMATHERM DEEP SI ETCHER PROCESS PARAMETERS Process Parameters/

More information

AX5000 Operational Manual

AX5000 Operational Manual MIYACHI AMERICA CORPORATION The World Leader in Hermetic Sealing Systems AX5000 Operational Manual 0 Document #107-00092-001 Dec, 2013 AX5000 Operational Manual Miyachi America Corporation 1820 S. Myrtle

More information

RAPID THERMAL PROCESSOR (Annealsys AS-ONE 150) Lab Manual

RAPID THERMAL PROCESSOR (Annealsys AS-ONE 150) Lab Manual RAPID THERMAL PROCESSOR (Annealsys AS-ONE 150) Lab Manual Prepared by- Hitesh Kamble Akhil Kumar S Materials not allowed: 1. Processing of Si wafers having back-side metal deposition. 2. Organic material

More information

Standard Operating Procedure Porosity Analyzer - The Micromeritics Tri-Star II (surface area) with Micrometrics VacPrep 061 (Sample Degas System)

Standard Operating Procedure Porosity Analyzer - The Micromeritics Tri-Star II (surface area) with Micrometrics VacPrep 061 (Sample Degas System) Standard Operating Procedure Porosity Analyzer - The Micromeritics Tri-Star II (surface area) with Micrometrics VacPrep 061 (Sample Degas System) The Micromeritics Tri-Star II Surface Area and Porosity

More information

1)! DO NOT PROCEED BEYOND THIS MARK

1)! DO NOT PROCEED BEYOND THIS MARK Operating Instructions for X-ray Photoelectron Spectrometer: Physical Electronics Model 555 XPS/AES (John H. Thomas, III, Ph.D., Electron Spectroscopy) Sample Insertion: figure 1. Sample insertion rod

More information

WSN: XXXXXX 101 ROME COURT FORT COLLINS, CO, PHONE #: (970) FAX #: (970) TO-Clean Manual

WSN: XXXXXX 101 ROME COURT FORT COLLINS, CO, PHONE #: (970) FAX #: (970) TO-Clean Manual WSN: XXXXXX 101 ROME COURT FORT COLLINS, CO, 80524 PHONE #: (970) 221-9179 FAX #: (970) 221-9364 TO-Clean Manual Copyright 2008 by Wasson ECE Instrumentation, Inc. (SJC 02/05/2008) All rights reserved

More information

Operation of the Perkin Elmer TGA-GC/MS

Operation of the Perkin Elmer TGA-GC/MS Operation of the Perkin Elmer TGA-GC/MS Summary of the TGA-GC/MS: The TGA-GC/MS allows a user to decompose a sample by heating, measure its loss of mass, and simultaneously analyze the chemical composition

More information

SEM LEO 1550 MANUAL. I. Introduction. Generality

SEM LEO 1550 MANUAL. I. Introduction. Generality SEM LEO 1550 MANUAL RESERVATION POLICY: 2 booking slots maximum per day and per person (ie. 1h). 6 booking slots maximum per week and per person (ie. 3h). Reservation names must correspond to the operators.

More information

Inventory User Guide

Inventory User Guide Inventory User Guide User Guide ~ Table of Contents ~ Sign On/Select Facility Rates & Inventory Update Tee Times Load Tee Times AutoLoad Schedule Rate Fences Dashboards Revenue At Risk, Rounds & Revenue,

More information

FireHawk M7 Interface Module Software Instructions OPERATION AND INSTRUCTIONS

FireHawk M7 Interface Module Software Instructions OPERATION AND INSTRUCTIONS FireHawk M7 Interface Module Software Instructions OPERATION AND INSTRUCTIONS WARNING THE WARRANTIES MADE BY MSA WITH RESPECT TO THE PRODUCT ARE VOIDED IF THE PRODUCT IS NOT USED AND MAINTAINED IN ACCORDANCE

More information

HyperSecureLink V6.0x User Guide

HyperSecureLink V6.0x User Guide HyperSecureLink V6.0x User Guide Note: This software works with the LS-30 Version (06.0x or later) 1, Hardware Installation: 1-1, Connection Diagram for USB or RS-232 Computer Interface To LS-30 CM1 To

More information

Met One E-BAM Particulate Monitor

Met One E-BAM Particulate Monitor STANDARD OPERATING PROCEDURES Met One E-BAM Particulate Monitor AMBIENT AIR MONITORING PROGRAM for the 130 LIBERTY STREET DECONSTRUCTION PROJECT LOWER MANHATTAN DEVELOPMENT CORPORATION 1 Liberty Plaza

More information

SINGULUS TECHNOLOGIES

SINGULUS TECHNOLOGIES Fast Vacuum for Optical Disc Metallization and Photovoltaic Coatings B. Cord, M. Hoffmann, O. Hohn, F. Martin SINGULUS Technologies AG, Kahl am Main SINGULUS TECHNOLOGIES June 2014 SINGULUS Overview 25-June-2014-2

More information

Usage Policies Notebook for Parylene Coating System

Usage Policies Notebook for Parylene Coating System Usage Policies Notebook for Parylene Coating System Revision date September 2014 2 Emergency Plan for Parylene Coating System Standard Operating Procedures for Emergencies Contact information Person Lab

More information

Procedures for operation of the TA Instruments DSC

Procedures for operation of the TA Instruments DSC Procedures for operation of the TA Instruments DSC Purpose and Scope: This document describes the procedures and policies for using the MSE TA Instruments DSC. The scope of this document is to establish

More information

Pegas 4000 MF Gas Mixer InstructionManual Columbus Instruments

Pegas 4000 MF Gas Mixer InstructionManual Columbus Instruments Pegas 4000 MF Gas Mixer InstructionManual Contents I Table of Contents Foreword Part I Introduction 1 2 1 System overview... 2 2 Specifications... 3 Part II Installation 4 1 Rear panel connections...

More information

In Response to a Planned Power Outage: PPMS EverCool II Shut Down and Re-start Procedure

In Response to a Planned Power Outage: PPMS EverCool II Shut Down and Re-start Procedure PPMS Service Note 1099-412 In Response to a Planned Power Outage: PPMS EverCool II Shut Down and Re-start Procedure Introduction: Loss of electricity to the PPMS EverCool II should not cause damage to

More information

League Manager Tutorial

League Manager Tutorial League Manager Tutorial ===================================================================================== This tutorial will give you a quick overview of the League Manager. In this tutorial you will:

More information

[needs to be discussed with faculty if any new material to be done]

[needs to be discussed with faculty if any new material to be done] Tool Name: EVO 18 Version 1 Authorized Users: Gayatri, Vishnu kant Materials Used: Sample holder has facility to mount 9 stubs: Si, Glass, Materials allowed : [needs to be discussed with faculty if any

More information

ICP- CVD Inductively Coupled Plasma - Chemical Vapor Deposition

ICP- CVD Inductively Coupled Plasma - Chemical Vapor Deposition ICP- CVD Inductively Coupled Plasma - Chemical Vapor Deposition Tool Identifier Plasma lab System 100 ICP180 Document version:6.0 Date:9 th June 2014 ICP chamber Rota meter shows flow of GN2 to purge rotaries

More information