Characterization and Modeling of Wafer and Die Level Uniformity in Deep Reactive Ion Etching (DRIE)

Similar documents
EMN04, October 2004, Paris, France OPTIMIZED ULTRA-DRIE FOR THE MEMS ROTARY ENGINE POWER SYSTEM

Effects of deep reactive ion etching parameters on etching rate and surface morphology in extremely deep silicon etch process with high aspect ratio

Quartz etch process to improve etch depth linearity and uniformity using Mask Etcher IV

High Aspect Ratio DRIE on the STS ICP-RIE

REACTIVE ION ETCHING OF SILICON DIOXIDE USING BOTH OXYGEN AND CARBON DIOXIDE AS GAS ADDITIVES. dames E. Constantino ABSTRACT

University of MN, Minnesota Nano Center Standard Operating Procedure

STS ICP-RIE. Scott Munro (2-4826,

STS Advanced Oxide Etch DRIE System Trends

2.830J / 6.780J / ESD.63J Control of Manufacturing Processes (SMA 6303) Spring 2008

NNCI ETCH WORKSHOP SI DRIE IN PLASMATHERM DEEP SILICON ETCHER. Usha Raghuram Stanford Nanofabrication Facility Stanford, CA May 25, 2016

Plasma 1 (Technics) Standard Operating Procedure. Revision: 1.0 Last Updated: Feb.5/2013, Revised by Grace Li

Plasma II (AXIC) Standard Operating Procedure. Revision: 1.0 Last Updated: Feb.6/2013, Revised by Grace Li

Usage Policies Notebook for Xenon Difluoride (XeF 2 ) Isotropic Si Etch

Xactix XeF2 OPERATION MANUAL

R I T. Title: STS ASE Semiconductor & Microsystems Fabrication Laboratory Revision: Original Rev Date: 01/21/ SCOPE 2 REFERENCE DOCUMENTS

An experimental study of internal wave generation through evanescent regions

Improvements in the Reliability, Costs and Processing of WLP/RDL Circuits

THEORETICAL EVALUATION OF FLOW THROUGH CENTRIFUGAL COMPRESSOR STAGE

Available online at ScienceDirect. Procedia Engineering 126 (2015 )

Refinements in the Plasma Processing of Polyimide and B.C.B.

A NEW DRY ETCHING METHOD WITH THE HIGH ETCHING RATE FOR PATTERNING CROSS LINKED SU 8 THICK FILMS

Atmospheric Waves James Cayer, Wesley Rondinelli, Kayla Schuster. Abstract

Aerobic reoxidation in marine sediments

EE 432 Lab 3 PMOS source/drain lithography and diffusion

March CS-1701F Reactive Ion Etcher

Investigation of Cr Etch Chamber Seasoning Pavel Nesladek a, Guenther Ruhl b, Marcel Kristlib b

Resist round robin ELETTRA

INTERACTION BETWEEN WIND-DRIVEN AND BUOYANCY-DRIVEN NATURAL VENTILATION Bo Wang, Foster and Partners, London, UK

Inlet Influence on the Pressure and Temperature Distortion Entering the Compressor of an Air Vehicle

Vibration-Free Joule-Thomson Cryocoolers for Distributed Microcooling

Pressure distribution of rotating small wind turbine blades with winglet using wind tunnel

10.6 The Dynamics of Drainage Flows Developed on a Low Angle Slope in a Large Valley Sharon Zhong 1 and C. David Whiteman 2

Procedure 1: Volume vs. Pressure 1.) Using the lap tops, go to the Physics Education Technology from the University of Colorado at:

COMPUTER-AIDED DESIGN AND PERFORMANCE ANALYSIS OF HAWT BLADES

OPTIMIZATION OF SINGLE STAGE AXIAL FLOW COMPRESSOR FOR DIFFERENT ROTATIONAL SPEED USING CFD

A VALID APPROACH TO CORRECT CAPILLARY PRESSURE CURVES- A CASE STUDY OF BEREA AND TIGHT GAS SANDS

ETCHING OF COPPER COATED MYLAR TUBES WITH CF4 GAS. Karl M. Ecklund, Keith W. Hartman, Michael J. Hebert, Stanley G. Wojcicki

Available online at ScienceDirect. Energy Procedia 92 (2016 )

March Asher Operation

STS DRIE User SOP Location: NRF Cleanroon, Dry Etch Bay

COMELEC C-30-S Parylene Coating System

Two interconnected rubber balloons as a demonstration showing the effect of surface tension

Study on wind turbine arrangement for offshore wind farms

VLSI Design I; A. Milenkovic 1

LOW PRESSURE EFFUSION OF GASES revised by Igor Bolotin 03/05/12

Modeling of Hydraulic Hose Paths

DESIGN AND CHARACTERIZATION OF 20NM SOI MOSFET DOPING ABRUPTNESS DEPENDENT

REPRODUCIBILITY IN OPTICAL THIN FILM PROCESSING PART 1, THE VACUUM AND PUMPING

University of MN, Minnesota Nano Center Standard Operating Procedure

Study on Fire Plume in Large Spaces Using Ground Heating

Air entrainment in Dip coating under vacuum

CPE/EE 427, CPE 527 VLSI Design I IC Manufacturing. The MOS Transistor

Unaxis ICP/RIE SOP Revision 8 09/30/16 Page 1 of 5. NRF Unaxis ICP/RIE Etch SOP

SPE Copyright 2001, Society of Petroleum Engineers Inc.

Introduction of Vacuum Science & Technology. Diffusion pumps used on the Calutron mass spectrometers during the Manhattan Project.

Gas Vapor Injection on Refrigerant Cycle Using Piston Technology

PREDICTION THE EFFECT OF TIP SPEED RATIO ON WIND TURBINE GENERATOR OUTPUT PARAMETER

SOLAR 93 THE 1993 AMERICAN SOLAR ENERGY SOCIETY ANNUAL CONFERENCE. Washington, DC April 22028,1993. Editors: S. M. Burley M. E.

Effect of airflow direction on human perception of draught

EXPERIMENTAL STUDY OF WIND PRESSURES ON IRREGULAR- PLAN SHAPE BUILDINGS

Influence of rounding corners on unsteady flow and heat transfer around a square cylinder

OPTIMIZATION OF RECUPERATER FIN GEOMETRY FOR MICRO GAS TURBINE

Inquiry Investigation: Factors Affecting Photosynthesis

LOW PRESSURE EFFUSION OF GASES adapted by Luke Hanley and Mike Trenary

Wind Directional Effect on a Single Storey House Using Educational Wind Tunnel

FT28_mks.qxp 21/11/ :06 Page 1

Effects of directionality on wind load and response predictions

Photolithography. Operating Instructions

Helium Mass Spectrometric Leak Detection In Large Size Process Plants

A New Piston Gauge to Improve the Definition of High Gas Pressure and to Facilitate the Gas to Oil Transition in a Pressure Calibration Chain

An Impeller Blade Analysis of Centrifugal Gas Compressor Using CFD

Usage Policies Notebook for STS DRIE System

Application Note. ASTRON Remote Plasma Source Ignition Best Practices PROBLEM

COURSE NUMBER: ME 321 Fluid Mechanics I Fluid statics. Course teacher Dr. M. Mahbubur Razzaque Professor Department of Mechanical Engineering BUET

Designing Wave Energy Converting Device. Jaimie Minseo Lee. The Academy of Science and Technology The Woodlands College Park High School, Texas

CHEMICAL ENGINEERING SENIOR LABORATORY CHEG Initiated Chemical Vapor Deposition

WIND DIRECTION ERROR IN THE LILLGRUND OFFSHORE WIND FARM

Numerical Simulation And Aerodynamic Performance Comparison Between Seagull Aerofoil and NACA 4412 Aerofoil under Low-Reynolds 1

Comparing the calculated coefficients of performance of a class of wind turbines that produce power between 330 kw and 7,500 kw

Dissolved Oxygen Guide

Seawater. Earth is an Ocean Planet

Using Experimental Procedure to Improve the Efficiency of the Two Stand Reversing Cold Mill

Protection schemes for critical surface in vacuum environments

Student name: + is valid for C =. The vorticity

Influence of the Number of Blades on the Mechanical Power Curve of Wind Turbines

EUV Mask Handling Standards

LAB 06 Organismal Respiration

TESTING AND ANALYZING ON P-V DIAGRAM OF CO 2 ROLLING PISTON EXPANDER

Axial and Centrifugal Compressor Mean Line Flow Analysis Method

AERODYNAMIC CHARACTERISTICS OF SPIN PHENOMENON FOR DELTA WING

CubeSat Balloon Drag Devices: Meeting the 25-Year De-Orbit Requirement

START UP MODELING OF KAIST MICRO MODULAR REACTOR COMPRESSOR USING BETA LINE METHOD WITH GAMMA+ CODE

LESSON 5: THE BOUNCING BALL

AP Biology Lab - Cell Respiration

Investigation of Suction Process of Scroll Compressors

A numerical Euler-Lagrange method for bubble tower CO2 dissolution modeling

Measurement and simulation of the flow field around a triangular lattice meteorological mast

Ball Beating Lubrication in Refrigetation Compressors

EXPERIMENTAL RESULTS OF GUIDED WAVE TRAVEL TIME TOMOGRAPHY

Aalborg Universitet. Published in: Proceedings of Offshore Wind 2007 Conference & Exhibition. Publication date: 2007

Transcription:

Mat. Res. Soc. Symp. Proc. Vol. 782 24 Materials Research Society A1.2.1 Characterization and Modeling of Wafer and Die Level Uniformity in Deep Reactive Ion Etching (DRIE) Hongwei Sun, Tyrone Hill, Martin Schmidt, and Duane Boning Microsystems Technology Laboratories, Massachusetts Institute of Technology, Cambridge, MA 2139 ABSTRACT Wafer and die level uniformity effects in Deep Reactive Ion Etching (DRIE) are quantitatively modeled and characterized. A two-level etching model has been developed to predict non-uniformities in high-speed rotating microstructures. The separation of wafer level and die level effects is achieved by sequentially etching wafers with uniformly distributed holes. The wafer level loadings range from.6% to 17.6%. Resulting wafer maps reflect the transition from an ion-limited region to a neutral-transport limited region. Additionally, long-range dielevel interactions are also evaluated. Resulting die-level etching non-uniformities have a comparable magnitude to wafer-level effects. A model taking into account both the diffusion and reaction rate of neutrals is applied to predict the etching of up to 21 dies. Agreement between measurement and prediction support the hypothesis that the depletion of radicals is the main cause of die-level etch variation. The characterization and prediction methods are applied to etching a micro-scale turbine engine. INTRODUCTION With etch depth variations on the order of 1 microns being regularly observed, DRIE nonuniformities are an extremely pertinent issue in MEMS fabrication. Our experiments show that the sources of non-uniformity in plasma etch can be sorted into three levels and characteristic lengths: wafer level, die level (millimeter scale), and feature level (micron scale). Extensive studies have described uniformity issues for plasma etching in integrated circuits, mainly focusing on feature-level effects. For MEMS, however, the die-level effects have a more prominent role due to large open area layouts. Etch depths for microengine turbine blades are plotted in figure 1. The peaks reflect a phenomenon known as RIE lag, while the sinusoidal variation results from a combination of global and die-to-die interactions. In this study, the wafer level uniformity maps are obtained by etching a series of masks under low aspect ratio conditions (<1.). The loading densities ranged from.6% to 17.6%. Loading is defined as the ratio of etched to un-etched areas on the wafer surface. Then, masks are designed to capture the range and magnitude of die-level effects. Finally, a model based on neutral diffusion is used to simulate the etching for a twenty-one die layout. EXPERIMENT Sample preparation and measurement methods The experiments were conducted on a standard ASE etcher made by Surface Technology

A1.2.2 Figure 1. Measurement results of etching depth for rotor blades represented by green color taken along the radius of 4. mm, which shows the etch variation cause by feature, die and wafer levels. Systems (STS), employing a Time Multiplexed Inductively Coupled Plasma (TMICP) technology that was patented by Robert Bosch Gmbh. The Bosch process features alternating cycles of SF 6 gas etching and C 4 F 8 polymer deposition. A.5 µm thick silicon dioxide layer was thermally grown on six-inch wafers, which were then coated with a 5 µm thick layer of AZ462 photoresist at 35 rpm. After a 3 minute prebake at 9 C, the wafers were exposed using an EV aligner for 12 seconds (λ=32 nm, energy=6 mw/cm 2 ) and developed (AZ44). The wafers were then baked again for 3 minutes at 9 C before being etched in a 7:1 Buffered Oxide Etch (BOE) solution for 7 minutes. Following the DRIE step, the wafers were put in a Piranha (H 2 SO 4 : H 2 O 2 3:1) bath for 1 minutes to strip off the photoresist. Finally, the wafers were placed in an oxygen plasma asher for 3 minutes to remove any remaining polymer film from the etch process. Depths were measured using a WYKO profilometry system. For the global etch maps, depths were obtained by averaging values from a line drawn across the center of each hole. Mask design Two sets of masks were designed to characterize wafer and die level etch variation. The wafer-level masks feature uniformly sized and spaced holes. The loading was varied by changing the spacing between holes. Sidewall area is not considered in the loading calculation, since the directionality of the process results in a much slower etch rate as compared to the trench bottom. We suggest that the.6% loading mask reflects the charged ion distribution in the chamber. According to ion-neutral synergism theory, fluorine neutrals will be abundant for each trench at these conditions [2]. The die-level masks feature both concentric rings and monitor points (also

A1.2.3 small holes). The monitor points, which have a negligible loading effect, are uniformly distributed around the die composed of concentric rings. The concentric rings have uniform spacing while changing diameter to keep the loading density constant over an arbitrary local area of interest. The monitor points are used to draw conclusions about the perturbation in etch rate a die can cause at various distances. The local loadings are 1%, 5% and 9% respectively with corresponding wafer level loadings of.17%,.8% and 1.7%. Experimental results and discussion The wafer level loading effect on etching is studied by plotting inverse etch rate versus etchable area as shown in figure 2. The linear relationship that exists between them is consistent with Mogab s theory [3]. Additionally, the maximum etch rate using this recipe is about 2.5 microns/min. The rate is governed by the equilibrium point between neutral generation and consumption rates. All maps are normalized by the highest etch rate point on the wafer. Roughly, about 1% etch rate variation exists across the wafer. For the maps with loading of.6%, 1.1% and 4.4% a hot spot having a higher etch rate exists. It is located 4 mm left of the wafer center for.6% loading. A similar trend is found from ion flux measurement of the same etching system [4]. As the loading increases, the hot spot shrinks and moves off of the wafer. This phenomenon can be explained as follows. The hot spot under.6% loading reflects the ion distribution above the wafer surface since neutrals are abundant. The neutrals start showing their effects with loading increasing from.6% to 1.1% and 4.4%. The etch rate is determined by both ions and neutrals. With the loading further increasing, the neutrals become the dominant factor, and the resulting map would now reflect the neutral distribution. Therefore, as seen in figure 3, the map at 17.6% loading is dramatically different from the low loading case. In fact, it features a cold spot on the right of the wafer which has the lowest etch rate (84%). 1/ER of Silicon (min/microns).7.6.5.4.3.2.1 5 1 15 2 25 3 35 Area of Etchable Silicon (cm 2 ) Figure 2. Inverse of etch rate vs. loadings for masks A, B, C and D (.6%, 1.1%, 4.4% and 17.6% loading).

A1.2.4 6 6 4 2-2.9923.9761.9616.94423.92829.89642.91235 4.96419 2-2.94757.9396.89773.91434.88111.8645.84788-4 -4-6 -6-4 -2 2 4 6 (a) Etching variation map for mask A -6-6 -4-2 2 4 6 (b) Etching variation map for mask D Figure 3. Wafer level etching maps under loadings of (a).6 and (b) 17.6%. All data are normalized by the highest etch rate in the map. The primary flat of the wafer is at the bottom. 6 6 4 4 2-2 1.379 1.288 1.197 1.16 1.15.99246.98338.9743 2-2 -.12456 -.129 -.23622 -.34788 -.34788-4 -4-6 -6-4 -2 2 4 6-6 -6-4 -2 2 4 6 (a) (b) Figure 4. (a) Die level etching map for loading of 1% before extraction. (b) Extracted map after subtracting corresponding wafer level map from (a). The dashed line represents the die location and the solid point represents the reference point during subtraction. Die-level etching non-uniformity is determined by subtracting the corresponding wafer level map from the experimental results. Figure 4 shows a die level etch map for local loading of 1% and total wafer loading of.4%. The contour of the die level map is not perfectly concentric due to the fluorine flow in the chamber. The upper part of the wafer has a higher slope than the lower part. The effect of the die on peripheral area is apparent in spite of some flow effect.

A1.2.5 SIMULATION Single die prediction As an exercise, one wafer with only one compressor blade die (local loading: 85%; total loading: 1.6%) on the top-left was etched to check uniformity without die-to-die effects. The etching duration is 3 minutes. The etch depth was measured at radii of 8 mm and 6 mm on the rotor. The normalized etch depth on two radii show similar trends. Meanwhile, 8 mm shows higher etch variation than 6mm due to its larger diameter. Setting the aspect ratio well below 1. minimizes feature-level effects. A comparison between prediction and experimental data on 6mm radius is plotted in figure 5. Data from the 1.1% wafer level mask was used to model the wafer level effects in the case. The good agreement between them suggests that the uniformity maps can provide a good prediction for the etching of single compressor blade die as long as we use the appropriate wafer level loading map. Multiple die simulation A model based on neutral diffusion was built to explain the etch variation. An etch with 21 main pump dies on the wafer is simulated. The layout is shown in figure 6. A circular measurement was taken at a 2.2 mm radius from the die center. It should be noted that the 9% loaded die is replaced by 9% loaded annular rings to simplify the simulation. The wafer was rotated by 9 degrees four times during etching to mitigate wafer-level effects. The total etching time was 9 minutes. Feature level effects are evident immediately following etching. However, since we measure the etch depth at a uniform radius, the feature openings are the same for each point. Thus feature level (aspect ratio) effects are eliminated from the data. As expected, the center die shows the best etch uniformity, which is below.2%. The center die is the most uniform because it has symmetric die-to-die interaction from all sides. 1.5 Normalized etch rate 1.995.99.985 Prediction MIT69--6minutes 1 2 3 4 5 6 7 8 9 1 Measuring points Figure 5. Comparison of prediction with measurement on radius of 3.mm of compressor blade. The prediction is based on wafer level etching map with loading of 1.1%.

A1.2.6 ( a ) ( b ) Figure 6. (a) Simulated etching variation contour of 21 dies layout based on the neutral diffusion. The negative values mean the etching rate is slower than that outside wafer. (b) Comparison with measurement for die 5 which is on the top left corner of the layout. CONCLUSIONS This paper focuses on experimental methodology to quantitatively characterize etching uniformity on both wafer and die level of MEMS structures. The wafer level uniformity maps reflect clearly the transition from ion-limited region to neutral-transport limited region. These maps can be useful tools to predict etch uniformity. The consumption of a large amount of neutrals results in a local density gradient near the die which is also detected using different masks. The range of this effective region depends on both the diffusivity and reaction rate of neutrals with silicon. With the above analysis, a model based on neutral diffusion is established to predict etch variation due to die-to-die effects. Agreement between experiment and simulation support the hypothesis that neutral diffusion is the main source of etch variation. Further work will involve simulation of time dependent etching, as this will allow us to consider the effect of increasing sidewall loading. ACKNOWLEDGEMENTS This work is supported by the Army Research Office and by DARPA. The authors thank Tom Takacs, Linhvu Ho, Li Wang in the Microengine group at MIT and Kurt Broderick of the Microsystems Technology Laboratories for their help in fabrication. REFERENCES 1. Epstein et al., Micro-heat engines, gas turbines and rocket engines, AIAA paper, 28 th AIAA Fluid Dynamic Conference, Snowmass Village, CO, June 29-July 2, (1997). 2. R. Gottscho and C. Jurgensen, J. Vac. Sci. Technol., B 1(5), 2133-2147 (1992). 3. C. Mogab, J. of Electrochemical Society, 124 (8), 1262-1268 (1977). 4. 4 Th USA ASE User Meeting, San Francisco (2).