Layout Design II. Lecture Fall 2003

Similar documents
VLSI Design 12. Design Styles

Physical Design of CMOS Integrated Circuits

ECE520 VLSI Design. Lecture 9: Design Rules. Payman Zarkesh-Ha

HW #5: Digital Logic and Flip Flops

VLSI Design 14. Memories

Philips SAA7118E Multi Standard Video Decoder Process Review

EE 434 Lecture 6. Process Technology

Leading Edge Logic Comparison. March 9, 2018 Scotten W. Jones President IC Knowledge LLC

THIẾT KẾ VI MẠCH TƯƠNG TỰ CHƯƠNG 2: CMOS Technology

Freescale Semiconductor MMA6222AEG ±20/20g Dual-Axis Medium-g Micromachined HARMEMS Accelerometer

DIY - PC - Interface for Suunto Cobra/Vyper/Mosquito

Design of AMBA APB Protocol

CPE/EE 427, CPE 527 VLSI Design I IC Manufacturing. The MOS Transistor

Stack Height Analysis for FinFET Logic and Circuit

The Future of Field-Programmable Gate Arrays

Front-end Realization of ASIC for Traffic Light Control with Real Time Clock Synchronization

VLSI Design I; A. Milenkovic 1

Synchronous Sequential Logic. Topics. Sequential Circuits. Chapter 5 Steve Oldridge Dr. Sidney Fels. Sequential Circuits

CPE/EE 427, CPE 527 VLSI Design I L06: Complementary CMOS Logic Gates

Respiration. Figure 22: Schematic representation of the respiratory system

CPE/EE 427, CPE 527 VLSI Design I L21: Sequential Circuits. Review: The Regenerative Property

VLSI Design I; A. Milenkovic 1

An Architecture for Combined Test Data Compression and Abort-on-Fail Test

Post-Placement Functional Decomposition for FPGAs

Designing of Low Power and Efficient 4-Bit Ripple Carry Adder Using GDI Multiplexer

MIL-STD-883G METHOD

VLSI Design I; A. Milenkovic 1

Scaling. Krish Chakrabarty 1. Scaling

STMicroelectronics LISY300AL Single-Axis Analog Yaw Rate Gyroscope

How Game Engines Can Inspire EDA Tools Development: A use case for an open-source physical design library

Assertion-Based Verification

EE241 - Spring 2013 Advanced Digital Integrated Circuits. Assigned reading. No new reading. Lecture 4: Transistor Models

Provably Secure Camouflaging Strategy for IC Protection

High Density FPGA Package BIST Technique

Bill Williams FMTC Probe Technology Development Group

IBIS Modeling for IO-SSO Analysis. Thunder Lay and Jack W.C. Lin IBIS Asia Summit Taipei, Taiwan Nov. 19, 2013

VLSI Design I; A. Milenkovic 1

Improve Process Reliability

Design of Low Power and High Speed 4-Bit Ripple Carry Adder Using GDI Multiplexer

Accellera Systems Initiative SystemC Standards Update

MOSIS LAMBDA DESIGN RULES

Lab 4 VGA Display Snake Game

A Slew/Load-Dependent Approach to Single-variable Statistical Delay Modeling. Tau Workshop 2014 Brandon Bautz & Swamy Lokanadham Cadence

Design and Technology Solutions for Development of SiGeMEMS devices. Tom Flynn Vice President, Sales Coventor

CBC2 performance with switched capacitor DC-DC converter. systems meeting, 12/2/14

Welcome to Accellera Day! AGENDA Accellera overview Technical Excellence Award Update: Accellera Portable Stimulus Working Group

Magnetic Tape Installation Straight guide tape, embedded magnetic bar, curve segments HG A

Table of Contents FIRST 2005 FIRST Robotics Competition Manual: Section 3 The Arena rev B Page 1 of 8

Oxygen Meter User Manual

Leakage Current Testing Is it right for your application?

Adiabatic Switching. A Survey of Reversible Computation Circuits. Benjamin Bobich, 2004

Global EHS Powered Industrial Truck and Pedestrian Safety

82C288 BUS CONTROLLER FOR PROCESSORS (82C C C288-8)

Part of the slides. Part of figures from. adapted reused from slides by Alberto Sangiovanni- Vincentelli

ProChek, A COMPREHENSIVE FABRICATION PROCESS MISMATCH AND RELIABILITY CHARACTERIZATION TOOL

PAB Deployment Simulation with Curved Retainer

Training Fees 3,400 US$ per participant for Public Training includes Materials/Handouts, tea/coffee breaks, refreshments & Buffet Lunch.

EFFECTIVE DESIGN OF CONVERTER HOODS. 111 Ferguson Ct. Suite 103 Irving, Texas U.S.A. 400 Carlingview Dr. Toronto, ON M9W 5X9 Canada.

TOTAL-IONIZING-DOSE RESPONSE OF 65 nm MOSFETS IRRADIATED TO ULTRA- HIGH DOSES. GIULIO BORGHELLO

VLSI Design I; A. Milenkovic 1

THE CANDU 9 DISTRffiUTED CONTROL SYSTEM DESIGN PROCESS

Preparation for Salinity Control ME 121

EUV Mask Handling Standards

Mitos Fluika Pressure and Vacuum Pumps Datasheet

3. Real-time operation and review of complex circuits, allowing the weighing of alternative design actions.

NON-CATALOG. Features excellent image rejection, 27 db typ. low conversion loss, 7.0 db typ. aqueous washable J-leads for strain relief

Pinouts. Electronics Pinouts. Terry Sturtevant. Wilfrid Laurier University. April 8, 2011

Division of Marine Science & Technology Lockwood School of Diving and Underwater Technology Course Syllabus

TTL Flash Interface connections

D-Case Modeling Guide for Target System

IDeA Competition Report. Electronic Swimming Coach (ESC) for. Athletes who are Visually Impaired

Sensoric 4-20 ma Transmitter Board Operation Manual

The International Semiconductor Roadmap and Its Impact on Semiconductor-Related Research. Jan M. Rabaey Gigascale Research Center (GSRC)

Linear Compressor Suction Valve Optimization

FUGITIVE EMISSIONS EXPERIMENTAL MEASUREMENTS AND EQUIVALENCY

VariSource High Dose Rate Afterloader Procedures. For Performing Breast Brachytherapy with. The SAVI TM Applicator

Reflections on IBIS. Bob Ross January 27, IBIS Summit Meeting Santa Clara, California

BPMN - IV. Rushikesh K Joshi IIT Bombay

Latest FE Model Development of THOR-50M Crash Test Dummy

Lab 1c Isentropic Blow-down Process and Discharge Coefficient

Impact of Reprocessing Technique on First Level Interconnects of Pb- Free to SnPb Reballed Area Array Flip Chip Devices

New Highly Productive Phased Array Ultrasonic Testing Machine for Aluminium Plates for Aircraft Applications

Coverage Closure Is it a Game of Dice or Top 10 Tests or Automated Closure? Aditya Sharma, T. Nagasundaram, M. Nitin Kumar, Nikhita Raj J

Stand-Alone Bubble Detection System

Copyright by Turbomachinery Laboratory, Texas A&M University

Live Round Interrupter (LRI) Technical Implementation White Paper

Process Control for Additive Manufacturing. Lesson 3 Measurement & Control of Heat Input

WMS 8.4 Tutorial Hydraulics and Floodplain Modeling HY-8 Modeling Wizard Learn how to model a culvert using HY-8 and WMS

Surfing Interconnect

AC : A LABORATORY EXERCISE TO DEMONSTRATE HOW TO EXPERIMENTALLY DETERMINE THE OPERATING POINT FOR A FAN

Previous Release Notes

21 rue La Nouë Bras de Fer Nantes - France Phone : +33 (0) website :

Rescue Rover. Robotics Unit Lesson 1. Overview

CS 4649/7649 Robot Intelligence: Planning

CS 351 Design of Large Programs Zombie House

Non Functional Requirement (NFR)

Unit 5: Prioritize and Manage Hazards and Risks STUDENT GUIDE

Ground Fault Circuit Interrupter(GFCI) Policy

IBIS Hierarchical Overrides and BIRD88

TECHNICAL BULLETIN USE OF SNOW GUARDS

Transcription:

Layout Design II Lecture 6 18-322 Fall 2003

Roadmap Today: Layout Verification & design in the large Next week: Transistor sizing Wires Homework 1: Due Today Homework 2: Out Today, Due Sept 18 Lab 2: This week Lab 3: Warning! Work hard, keep working 2003 Herman Schmit

Today s Overview Handout: Virtuoso Design Verification DRC: Design Rule Check LVS: Layout versus Schematic Design in the large How do designers design ICs NOW 2003 Herman Schmit

Outline Reasoning Design Rule Checker (DRC) Extractions Layout vs. Schematic (LVS) SPICE Simulations

Reasoning Manufacturing is expensive Mask Set Cost $$$ millions Fab turn-around time is couple of months Make sure the timing requirements are met Process requirements are satisfied in the layout The layout implements correct functionality

Design Rule Checker (DRC) Each process has a set of design constraints Space rules Width rules Overlap/Extension rules Area/Density rules Design must be free from these errors in order to successfully manufacture an IC DRC tool is used to identify process violations present in the layout

Layout

Layout

DRC Window

Layout After DRC Run

Layout After DRC Run

Error Messages Windows

Fix the Layout OLD NEW

Extractions Polygons Devices Step Find FETs Calculate capacitances for FETs/wires Calculate resistances for FETs/wires

Starting

Extract Options LVS Options SPICE Options

Layout vs. Schematic (LVS) Does your layout match the schematic Does not perform functional checking In order to ensure schematic functionality at layout level, the LVS cannot generate any errors

LVS Example

LVS Example

The net-lists failed to match Like matching is enabled. Using terminal names as correspondence points. Net-list summary for extracted view count 6 nets 3 terminals 3 pmos 3 nmos Net-list summary for schematic count 7 nets 5 terminals 3 pmos 3 nmos

The net-lists failed to match. layout schematic instances un-matched 2 2 rewired 0 0 size errors 0 0 pruned 0 0 active 6 6 total 6 6 nets un-matched 2 3 merged 0 0 pruned 0 0 active 6 7 total 6 7 terminals

devbad.out: I /M1? Device does not cross-match. I /M2? Device does not cross-match. netbad.out: N /net20? Net does not cross-match. It has 4 connections. N /gnd!? Net does not cross-match. It has 4 connections. N /net24? Net does not cross-match. It has 2 connections.

LVS Example

LVS Example

That s how we verify in 322 Simulate (Spice/Verilog) Compare (LVS) Make sure it could be fabbed (DRC) Full-custom design Useful in 1980s Still used in some portions of highest performance chips Microprocessors 2003 Herman Schmit

Design Productivity Crisis Transistors/chip [k] 1,000,000 10,000,000 800 Staff Yrs. 100,000 1,000,000 10,000 100,000 1,000 1800 Staff Yrs. 10,000 100 10 SEMATECH * 2003 Herman Schmit 1000 * * Transistors/Staff-No. 92 94 96 98 2000 02 04 06 08 Year

ASIC Design Abstractions Designer Productivity is THE big problem In 1978, people could draw transistors, now there are 100s of millions per chip New abstractions necessary: Masks Design Rules Layout Design Cell Libraries Std Cell Design????/???? 18-322 2003 Herman Schmit Current ASICs

Standard Cell Design Process Design Entry and Simulation» Schematics» Verilog / VHDL Logic Synthesis» Input: Verilog/VHDL and Cell Library» Estimated Timing» Simulation Timing Analysis» Determine worst-case clock speed Formal Verification» Check equivalence of Gates and Specification Design Hand-off 2003 Herman Schmit

Standard Cell Design Process Floorplanning» Localize major functions of the chip» Consider global timing» Partition design Placement» Find locations for all circuits» Consider detail timing» Assure proximity of critical nets Global Routing» Resolve congestion» Localize nets» Give critical nets best paths Detail Routing» Locate shortest paths» Create net geometry» Route critical nets first Tape-out to manufacturing 2003 Herman Schmit

Anatomy of a Standard Cell nwell Contact VDD Rail Nwell Signal Pins (metal 2) Metal 2 Pitch GND Rail Cell Width 2003 Herman Schmit Substrate Contact

Standard Cell Rows Shared VDD Shared Well Shared GND 2003 Herman Schmit

Standard Cell Rules Rails and wells route by abutment: Same width, spacing, metal layer Go to cell boundary Substrate or well contacts underneath Any other cell could abut All design rules enforced 50% to Boundary 2003 Herman Schmit

Standard Cell Libraries > 100 cells of different types Logic DFFs: Set/Reset polarity, scan variations Filler w/ Capacitors Library variations Low power, low leakage High performance Robust Data path oriented 2003 Herman Schmit

D Flip Flop: Standard Cell 2003 Herman Schmit

2003 Herman Schmit

2003 Herman Schmit

2003 Herman Schmit

2003 Herman Schmit

2003 Herman Schmit

2003 Herman Schmit

2003 Herman Schmit

History of Design Automation Periodic Periodic increases in abstraction to increase productivity The The next quantum step in productivity???? Results (Design Productivity) 1999 What s next? 1985 1992 Synthesis 1978 a 0 d b 1 s clk q Schematic Entry Transistor Entry Effort (EDA tools effort) 2003 Herman Schmit

Productivity Gap: Core-based Design DSP core (bought) Kbrd cntrl RF Dsply cntrl Internal IP Core-based Design IP-based Design System-on-a-Chip (SoC) 2003 Herman Schmit Soft cores Synthesizable HDL Test-vectors Redesign in new process Hard cores Complete Layout Scale to new process New Class of Companies: IP-providers

Core-based Design Challenges System-on-a-Chip (SoC) Interfacing: How to get these blocks talking? Standard Busses: But then why have it on-chip? Debug: How to see embedded signals Testing: How to test individual cores? Liability/Support: What if there s a bug in one of the cores? Who will PAY! 2003 Herman Schmit

Summary Basic Layout using Virtuoso Layout Verification for 322 DRC LVS Design in the large Building standard cells Using a library of standard cells and design automation to construct a BIG chip Design Productivity Problem 2003 Herman Schmit