REACTIVE ION ETCHING OF SILICON DIOXIDE USING BOTH OXYGEN AND CARBON DIOXIDE AS GAS ADDITIVES. dames E. Constantino ABSTRACT

Similar documents
STS Advanced Oxide Etch DRIE System Trends

Characterization and Modeling of Wafer and Die Level Uniformity in Deep Reactive Ion Etching (DRIE)

Usage Policies Notebook for Xenon Difluoride (XeF 2 ) Isotropic Si Etch

March CS-1701F Reactive Ion Etcher

Refinements in the Plasma Processing of Polyimide and B.C.B.

Standard Operating Manual

Usage Policies Notebook for STS DRIE System

Arizona State University NanoFab PLASMATHERM 790 RIE. Version A

Quartz etch process to improve etch depth linearity and uniformity using Mask Etcher IV

STS ICP-RIE. Scott Munro (2-4826,

University of MN, Minnesota Nano Center Standard Operating Procedure

Effects of deep reactive ion etching parameters on etching rate and surface morphology in extremely deep silicon etch process with high aspect ratio

Plasma Cleaner. Yamato Scientific America. Contents. Innovating Science for Over 125 Years. Gas Plasma Dry Cleaner PDC200/210/510 PDC610G.

FT28_mks.qxp 21/11/ :06 Page 1

Plasma 1 (Technics) Standard Operating Procedure. Revision: 1.0 Last Updated: Feb.5/2013, Revised by Grace Li

Plasma II (AXIC) Standard Operating Procedure. Revision: 1.0 Last Updated: Feb.6/2013, Revised by Grace Li

INTRODUCTION: EXPERIMENTAL NOTES:

Notes-PECVD: Chamber 1

NNCI ETCH WORKSHOP SI DRIE IN PLASMATHERM DEEP SILICON ETCHER. Usha Raghuram Stanford Nanofabrication Facility Stanford, CA May 25, 2016

ETCHING OF COPPER COATED MYLAR TUBES WITH CF4 GAS. Karl M. Ecklund, Keith W. Hartman, Michael J. Hebert, Stanley G. Wojcicki

EMN04, October 2004, Paris, France OPTIMIZED ULTRA-DRIE FOR THE MEMS ROTARY ENGINE POWER SYSTEM

University of MN, Minnesota Nano Center Standard Operating Procedure

R I T. Title: STS ASE Semiconductor & Microsystems Fabrication Laboratory Revision: Original Rev Date: 01/21/ SCOPE 2 REFERENCE DOCUMENTS

Plasma Asher: March PX-500 User guide (May-30, 2017)

Arizona State University Center for Solid State Electronic Research. Table of Contents. Issue: C Title: Oxford Plasmalab 80plus (Floey) Page 1 of 8

Inert Atmosphere Guide

CPE/EE 427, CPE 527 VLSI Design I IC Manufacturing. The MOS Transistor

Unaxis ICP/RIE SOP Revision 8 09/30/16 Page 1 of 5. NRF Unaxis ICP/RIE Etch SOP

VLSI Design I; A. Milenkovic 1

STS PECVD Instructions

March Asher Operation

Plasma Sources and Feedback Control in Pretreatment Web Coating Applications

The MRL Furnaces USED FOR THIS MANUAL COVERS

NORDSON MARCH PX-1000 PLASMA ASHER STANDARD OPERATING PROCEDURE Version: 1.0 July 2016

Photolithography. Operating Instructions

Application Note. ASTRON Remote Plasma Source Ignition Best Practices PROBLEM

2.830J / 6.780J / ESD.63J Control of Manufacturing Processes (SMA 6303) Spring 2008

Chemistry Chapter 11 Test Review

Xactix XeF2 OPERATION MANUAL

Plasma-Therm PECVD. Operating Characteristics. Operating Instructions. Typical Processes. I. Loading. II. Operating

STS DRIE User SOP Location: NRF Cleanroon, Dry Etch Bay

Investigation of Cr Etch Chamber Seasoning Pavel Nesladek a, Guenther Ruhl b, Marcel Kristlib b

University of Minnesota Nano Fabrication Center Standard Operating Procedure

High Aspect Ratio DRIE on the STS ICP-RIE

BEST KNOWN METHODS. Transpector XPR3 Gas Analysis System. 1 of 6 DESCRIPTION XPR3 APPLICATIONS PHYSICAL INSTALLATION

Introduction of Vacuum Science & Technology. Diffusion pumps used on the Calutron mass spectrometers during the Manhattan Project.

Operating Procedures for the. SAMCO ICP RIE System

Quantification of Contamination Using Quartz Crystal Thickness Monitors

Manufacturing Processes for WSi 2 -GPSOI Substrates and their Influence on Cross-talk Suppression and Inductance

A NEW DRY ETCHING METHOD WITH THE HIGH ETCHING RATE FOR PATTERNING CROSS LINKED SU 8 THICK FILMS

Residual Gas Analysis Systems for Industry

PCS PIRANHA plasma abatement system: Update of evaluation on 300mm Etch process

Remote Plasma Cleaning from a TEM Sample Holder with an Evactron De-Contaminator ABSTRACT

MEMS for automotive and consumer electronics

ECE520 VLSI Design. Lecture 9: Design Rules. Payman Zarkesh-Ha

Xactix Xenon Difluoride Etcher

Kalrez seals for proven reliability in aggressive wafer processing environments

OXFORD PLASMALAB 80PLUS (CLOEY)

Improvements in the Reliability, Costs and Processing of WLP/RDL Circuits

Standard Operating Manual

CTB3365x Introduction to Water Treatment

Unaxis PECVD. SiH4 (5% in He)

Usage Policies Notebook for Trion RIE / ICP Dry Etch

5.1.3 Mechanical Hazards Drive assemblies have sufficient power to cause injury. Keep hands, fingers, clothing and tools clear of moving parts.

MANUAL FOR SPTS APS (DIELECTRICS ETCHER)

Evaluating Surface Wettability for SET-NA Ontos7 Atmospheric Plasma Treated Samples

The Experts in Vacuum Solutions

EE 432 Lab 3 PMOS source/drain lithography and diffusion

Gases&Technology. Measurement of Impurities in Helium Using the Dielectric Barrier Discharge Helium Ionization Detector. FEATURE.

Cryo-Evaporator Operation

Protective Atmospheres, Measurement Technologies and Troubleshooting Tools

Edge Isolation Tool. Standard Operating Procedure. Version 1.1. Date: Prepared by, Sandeep S S. Department of Electrical Engineering

Standard Operating Manual

Safety Data Sheet Compound

FOUP material influence on HF contamination during queue-time

Leaks, contamination and closed loop control how RGAs make coating processes more profitable

DESIGN AND CHARACTERIZATION OF 20NM SOI MOSFET DOPING ABRUPTNESS DEPENDENT

Valved RF Plasma Sources : VRF

Chemistry Honors - Gases

Chuck Cleaning Wafer (CCW) to remove dusts / particles on wafer chuck (Electrostatic, Vacuum, Mechanical) to be cause of machine troubles

CHEMICAL ENGINEERING SENIOR LABORATORY CHEG Initiated Chemical Vapor Deposition

Thermcraft Tube Furnace General Use

Nordiko Metal Sputtering System Standard Operating Procedure

Kinetic-Molecular Theory

High Performance Mass Spectrometers

Standard Operating Manual

Discovery HP-TGA 75/750. Site Preparation Guide

Basic ICP Operating Procedures

THE DECOMPOSITION OF POTASSIUM CHLORATE This lab is derived almost entirely from a lab used at the United States Naval Academy

Material Safety Data Sheet. ACS Material Silicon/Silicon Dioxide Wafer

Introduction to Perfluoroelastomers. White Paper

TEPZZ _ 5778A_T EP A1 (19) (11) EP A1 (12) EUROPEAN PATENT APPLICATION

CHEM 3351 Physical Chemistry I, Fall 2017

Unit 11 Gas Laws Chapters 13 of your textbook

Safety Using Fluorine Eximer Lasers. Jack J. Hahn SLAC

OLED vacuum deposition cluster system Sunicel Plus 400 / Plus 400L (Sunic Systems)

METHOD 3C - DETERMINATION OF CARBON DIOXIDE, METHANE, NITROGEN, AND OXYGEN FROM STATIONARY SOURCES

Application Note AN-107

The Influence Of The Burning Process At The Thermoelectric Power Station On Ecology And The Ways Of Reducing The Harmful Wastes Into The Atmosphere

Transcription:

REACTIVE ION ETCHING OF SILICON DIOXIDE USING BOTH OXYGEN AND CARBON DIOXIDE AS GAS ADDITIVES dames E. Constantino 5th Year Microelectronic Engineering Student Rochester Institute of Technology BACKGROUND ABSTRACT A study was performed on the etch characteristics of silicon dioxide and polysilicon for a reactive ion etch system, using CHF4 as the primary etchant gas and using either oxygen or carbon dioxide as an additive gas. Correlations were found between the amount and type of additive gas introduced to the system, the Rf power of the - system, the pressure that the system is maintained at and the effects these parameters have on the etch rate and - selectivity of Si02 and polysilicon etches. It was found that adding CO2 in small amounts to the gas mixture instead of pure oxygen had the effect of making the etch process more predictable and easier to control. One of the major trends taking place in the semiconductor industry today is the move away from wet etch systems and towards dry, plasma systems. This evolution is prompted by the many advantages inherent with using plasmas over wet etch techniques. Plasma systems allow better resolution at lower geometries, higher control of sidewall profiles, and lower processing and waste disposal costs than comparable wet etch systems. Then main drawbacks to using plasma systems are poorer etch selectivity and slower wafer throughput. In the etching of either silicon or silicon o~:ide, fluorinated carbon reactant gases, with the general formula of CFn, are used. The reaction equasion can be represented as: CFn + SiOZ > SiF4m + CO, C02 with all products being volitile. Free fluorine atoms in the plasma will etch bare silicon, while the CF3 radical is responsible for oxide etching. The concentrations of one or the other is dependant on the 38

florine:carbon ratio of the etchant gas. When the ratio is three or less (as in the cases of CHF3 and C2F6>, the concentration of CF3 radicals will increase relative to the concentration of free fluorine atoms, and oxide etching will be favored. When the F:C ratio is four or more, the opposite will occur [1,2]. Different additive gases can also affect the selectivity of the etch. Adding hydrogen to the mixture causes the fluorine concentration of the plasma to be reduced (forming HF, a volitile species), therefore promoting silicon dioxide etching. Oxygen added to the plasma has a similar effect of removing carbon from the system (in the form of CO and C02), thus promoting silicon and polysilicon etching [3]. Plasma systems also have the added problem of excessive polymer formation occuring during silicon and silicon dioxide etching. This polymer forms when free carbon atoms in the plasma link with each other rather than with other atoms to form volitile species. Etchant gases with high carbon to fluorine ratios encounter this frequently due to the greater number of free carbon atoms they release to the plasma. Polymer formation on reactor surfaces can affect etch reproducibility and also acts as a source of particulate contamination. This polymer can be thoroughly removed at a later time by an oxygen plasma, but it is still desirable to reduce their initial formation. One means of accomplishing this is by altering the etch chemistry. The addition of oxygen or oxygen containing compounds to the plasma will serve to inhibit polymer formation, but will itself have an impact on the plasma s etch characteristics [4]. Reactive ion etching functions the same way as regular plasma etching except that, during etching, inert ions are directed at the wafer surface. When these ions impact with the wafer they damage the surface crystal lattice, free up bonds to enable the etchant gas to react with, and/or physically sputter the material to be etched. This results in faster etch times and more anisotropic etch profiles. For this project, an optimization of the reactive ion etch process (RIE) will be performed for a silicon oxide etch. Such parameters as Rf power, gas composition, and chamber pressure (which in turn controls the degree of ion bombardment> will be examined for their individual and cumulative effects on both the speed and the selectivity of the etching process. The gas mixture used will be CHF3, using first oxygen and then carbon dioxide as the gas additive. The amount of additive gas will be altered from 0 to 20% of the total gas volume, and the effects that both additive gases have on the etch rate and etch selectivity will be determined. PROCEDURE For this project twenty five three inch, silicon wafers were prepared with a tn layer selectivity pattern. This was accomplished by first growing approximately 5000 angstroms of 39

silicon dioxide on the wafers in a steam environment. Approximately 5000 angstroms of polysilicon was then deposited using a CVD system. The polysilicon was then patterned using KTIBZO positive resist and a thick striped test mask; once etched (in a wet, polysilicon etch bath) and stripped of resist, this resulted in test wafers with one centimeter wide, alternating polysilicon and silicon dioxide lines. The test mask was then rotated 90 degrees and a second exposure was made, resulting in a series of wide photoresist lines running perpendicular to the Si02 and polysilicon lines. The resist was measured to be approximately 12000 angstroms thick. The plasma system used was the PL3ABMATRAC single wafer reactive ion etcher. The primary etchant gas was CHF3, with either oxygen or carbon dioxide being used as the additice gas. The total gas flow through the etch chamber was maintained at l00sccm throughout the entire experiment. The etch parameters tested were Rf power, varied from 30 to 300 watts, chamber pressure, varied from 20 to 200 mtorr, and additive gas concentration, varied from 0 to 20% by volume of the gas mixture. A total of twenty runs were made made for each gas additive. Etching of the silicon dioxide and the photoresist were measured using the Nanometrics Nanospec, while the Alpha step was used to measure polysilicon thicknesses. This data was then correlated using the computer program RS/Discover. RESULTS[DI SCUSSI ON The etch characteristics of both polysilicon and silicon dioxide were successfully plotted versus changes in the etch parameters of Rf power, chamber pressure, and additive gas concentration. Etching performed without the addition of an oxygen containing gas encountered the problem of heavy polymer formation. Etching was only possible at the low end of the pressure scale where ion bombardment was the strongest; at this point the etch rate of Si02 could be controlled by raising or lowering the Rf power, ranging from 100 to 500 angstroms/minute across the Rf scale. Etch selectivity favored Si02 over poly, but the results fluctuated depending on polymer formation such that it is impossible to get an exact etch ratio. The addition of oxygen to the system improves the problem of excessive polymer formation. Optimum etch occur at a point just below where polymer begins to form on the polysilicon. These etch windows are listed for the various concentrations of oxygen used: Oxygen (%) Rf power (watt> Pressure (mtorr) 5.0 140 200 60 ~0 10.0 200 250 140 150 15.0 200 240 145 150 20.0 100 190 60 100 40

A drawback to using oxygen as an additive gas is the dramatic increase in the etch rate of photoresist that occurs. The following table is an example of the etch rate of KT1820 positive photoresist, etched at an Rf of 160 watts and a pressure of 110 mtorr: Oxygen Conc. (%) KTIB2O Etch Rate (A/mm) 0 200.0 5 300.0 10 600.0 15 750.0 20 750,0 Carbon dioxide was also used as a gas additive to control polymer formation, and in this regard it worked almost as well as pure oxygen. In terms of etch rates and selectivities, it appeared that the carbon dioxide had a stabalizing effect on the polysilicon et~ch; the polysilicon etch with the addition of C02 is much more linear than it was with the addition of pure oxygen. This effect makes it easier to locate a region of high etch selectivity during an etch process. C02 Conc. (%) Rf Power (watts> Pressure (mtorr> 5 140 220 95 95 10 220 240 130 140 15 220 260 165 180 20 240 300 190 200 It. can be seen that a plasma etch using C02 requires both a higher.rf and a higher chamber pressure to produce results similar to a plasma using pure oxygen as the additive gas. An advantage that C02 has over oxygen is that it produces a lower phot.oresist etch rate. Etch rate taken at Rf of 160 watts and chamber pressure of 110 mtorr: C02 Conc. (%) KTIB2O Etch Rate (A/mm) 0 150.0 5 25.0 10 50.0 15 125.0 20 225.0 CONCLUSIONS It is possible to achieve silicon dioxide etch rates of 300 to 500 angetroms per minute with high selectivity over polysilicon by performing the etch at a point iust below where polymer formation begin~ on the polysilicon. Control of this polymer formation can be achieved through careful additions of oxygen, or other oxygen containing gases, to the plasma. Both oxygen and carbon dioxide were tested for this reason, and it was found that although each has it s own drawbacks, beth gases are effective in controlling the polymer formation, and therefore the etch characteristics of this dry etch process. 41

Copies of all plots can be found in the appendix section of this paper. ACKNOWLEDGEMENTS I would like to thank Dr. Richard Lane, Mike Jackson, and Gary Runkle for their help on this project. REFERENCES [1] S. Wolf and R. N. Tauber; Silicon Processing for the VLSI Era Sunset Beach California. Lattice Press. 1966 [2] Brian Chapman; Glow Discharge Processes New York. John Wiley and Sons. 1980 [3] Gianni 0. Fior, Leslie N. Giffen, and Wendy N. Palmer Tegal Corp., Petaluma, California High Selectivity, Silicon Dioxide Dry Etching Process Solid State Technology, April 1988; p.109 112 [4] Frank D.Egitto, David N. K. Wang, Dan Maydan, David Benzing Applied Materials, Inc., Santa Clara, CA; Signetics Corp. Sunnyvale, CA; Ion Assisted Plasma Etching os Silicon Oxides in a Multifacet System; Solid State Technology, December 1981, iy. 71 75 42