Quartz etch process to improve etch depth linearity and uniformity using Mask Etcher IV

Similar documents
High Aspect Ratio DRIE on the STS ICP-RIE

Characterization and Modeling of Wafer and Die Level Uniformity in Deep Reactive Ion Etching (DRIE)

STS Advanced Oxide Etch DRIE System Trends

NNCI ETCH WORKSHOP SI DRIE IN PLASMATHERM DEEP SILICON ETCHER. Usha Raghuram Stanford Nanofabrication Facility Stanford, CA May 25, 2016

Investigation of Cr Etch Chamber Seasoning Pavel Nesladek a, Guenther Ruhl b, Marcel Kristlib b

Deep Trench Metrology Challenges for 75nm DRAM Technology Peter Weidner, Alexander Kasic, Thomas Hingst Thomas Lindner, Qimonda, Dresden, Germany

Effects of deep reactive ion etching parameters on etching rate and surface morphology in extremely deep silicon etch process with high aspect ratio

EMN04, October 2004, Paris, France OPTIMIZED ULTRA-DRIE FOR THE MEMS ROTARY ENGINE POWER SYSTEM

Improved Sputter Depth Resolution in Auger Thin Film Analysis Using In Situ Low Angle Cross-Sections

REACTIVE ION ETCHING OF SILICON DIOXIDE USING BOTH OXYGEN AND CARBON DIOXIDE AS GAS ADDITIVES. dames E. Constantino ABSTRACT

STS ICP-RIE. Scott Munro (2-4826,

STS DRIE User SOP Location: NRF Cleanroon, Dry Etch Bay

Unaxis ICP/RIE SOP Revision 8 09/30/16 Page 1 of 5. NRF Unaxis ICP/RIE Etch SOP

Plasma Sources and Feedback Control in Pretreatment Web Coating Applications

Remote Plasma Cleaning from a TEM Sample Holder with an Evactron De-Contaminator ABSTRACT

March Asher Operation

Three-Dimensional Plasmonic Hydrogel Architecture: Facile Synthesis and Its Macro Scale Effective Space

Application of Simulation Technology to Mitsubishi Air Lubrication System

MANUAL FOR SPTS APS (DIELECTRICS ETCHER)

University of MN, Minnesota Nano Center Standard Operating Procedure

Visual Observation of Nucleate Boiling and Sliding Phenomena of Boiling Bubbles on a Horizontal Tube Heater

Influence of HALO and drain-extension doping gradients on transistor performance

Plasma Asher: March PX-500 User guide (May-30, 2017)

University of MN, Minnesota Nano Center Standard Operating Procedure

Air-Sea Interaction Spar Buoy Systems

The Effect of Driver Mass and Shaft Length on Initial Golf Ball Launch Conditions: A Designed Experimental Study

ISO INTERNATIONAL STANDARD

Plasma Cleaner. Yamato Scientific America. Contents. Innovating Science for Over 125 Years. Gas Plasma Dry Cleaner PDC200/210/510 PDC610G.

Ermenek Dam and HEPP: Spillway Test & 3D Numeric-Hydraulic Analysis of Jet Collision

THIẾT KẾ VI MẠCH TƯƠNG TỰ CHƯƠNG 2: CMOS Technology

Standard Operating Manual

Usage Policies Notebook for Xenon Difluoride (XeF 2 ) Isotropic Si Etch

Performances of fluoropolymer resists for 157-nm lithography

Pressure distribution of rotating small wind turbine blades with winglet using wind tunnel

2.830J / 6.780J / ESD.63J Control of Manufacturing Processes (SMA 6303) Spring 2008

Available online at ScienceDirect. Energy Procedia 92 (2016 )

R I T. Title: STS ASE Semiconductor & Microsystems Fabrication Laboratory Revision: Original Rev Date: 01/21/ SCOPE 2 REFERENCE DOCUMENTS

Manufacturing Processes for WSi 2 -GPSOI Substrates and their Influence on Cross-talk Suppression and Inductance

STS PECVD Instructions

Influence of the Number of Blades on the Mechanical Power Curve of Wind Turbines

Using Experimental Procedure to Improve the Efficiency of the Two Stand Reversing Cold Mill

Influence of rounding corners on unsteady flow and heat transfer around a square cylinder

Operating Procedures for the. SAMCO ICP RIE System

Effects of seam and surface texture on tennis balls aerodynamics

GUIDE TO ULTRA-FAST HPLC. Quick Tips for Converting Conventional Reversed-Phase HPLC Separations to Ultra-Fast Separations

Notes-PECVD: Chamber 1

March CS-1701F Reactive Ion Etcher

Xactix XeF2 OPERATION MANUAL

Cleaning Medical Electronics

Quantification of Contamination Using Quartz Crystal Thickness Monitors

Air entrainment in Dip coating under vacuum

Flow and Mixing in the Liquid between Bubbles

Improvement of an Artificial Stall Warning System for Sailplanes

Standard Operating Manual

BCM Lecture #3. Phase Improvement, Model Building & Refinement. Peter Pawelek & Mirek Cygler (slides 10-51(mostly))

Experimental Analysis on Vortex Tube Refrigerator Using Different Conical Valve Angles

Standard Operating Manual

Pore-Air Entrapment during Infiltration

CHANGE OF THE BRIGHTNESS TEMPERATURE IN THE MICROWAVE REGION DUE TO THE RELATIVE WIND DIRECTION

Vortical motions under short wind waves

Downloaded from SAE International by Universiti Teknologi Malaysia, Monday, October 05, 2015

Refinements in the Plasma Processing of Polyimide and B.C.B.

Photolithography. Operating Instructions

COMPUTATIONAL FLOW MODEL OF WESTFALL'S LEADING TAB FLOW CONDITIONER AGM-09-R-08 Rev. B. By Kimbal A. Hall, PE

Micro Channel Recuperator for a Reverse Brayton Cycle Cryocooler

Reliability Growth of Stirling-Cycle Coolers at L-3 CE

A Comparative Study of Self-aerated Stepped Spillway and Smooth Invert Chute Flow: The effect of Step-induced Macro-roughness

Experimental Characterization of Topography Induced Immersion Bubble Defects

Ion-atom interactions in a gas jet - coupling of a gas catcher to a linear RFQ cooler

Development of Technology to Estimate the Flow Field around Ship Hull Considering Wave Making and Propeller Rotating Effects

FT28_mks.qxp 21/11/ :06 Page 1

HRLA High-Resolution Laterolog Array Tool. Improving the accuracy of Rt

R I T. Title: Amray 1830 SEM Semiconductor & Microsystems Fabrication Laboratory Revision: A Rev Date: 09/29/03 1 SCOPE 2 REFERENCE DOCUMENTS

Laboratory Hardware. Custom Gas Chromatography Solutions WASSON - ECE INSTRUMENTATION. Engineered Solutions, Guaranteed Results.

nearfield High-Throughput Atomic Force Microscopy for semiconductor metrology applications I N S T R U M E N T S

Arizona State University NanoFab PLASMATHERM 790 RIE. Version A

PRESSURE DISTRIBUTION OF SMALL WIND TURBINE BLADE WITH WINGLETS ON ROTATING CONDITION USING WIND TUNNEL

Chapter 4. Tribology. 1. Lubrication. 2. Wear

Technical Note. Determining the surface tension of liquids by measurements on pendant drops

Student Population Projections By Residence. School Year 2016/2017 Report Projections 2017/ /27. Prepared by:

PARAMETRIZATION OF WAVE TRANSFORMATION ABOVE SUBMERGED BAR BASED ON PHYSICAL AND NUMERICAL TESTS

International Journal of Technical Research and Applications e-issn: , Volume 4, Issue 3 (May-June, 2016), PP.

Návrh vratného kanálu u dvoustupňového kompresoru Return channel design of the two stage compressor

Arizona State University Center for Solid State Electronic Research. Table of Contents. Issue: C Title: Oxford Plasmalab 80plus (Floey) Page 1 of 8

Analysis of dilatometer test in calibration chamber

Available online at ScienceDirect. The 2014 Conference of the International Sports Engineering Association

Variability of SCUBA diver s Acoustic Emission

Saphir Guided Session #8

THE PRESSURE SIGNAL CALIBRATION TECHNOLOGY OF THE COMPREHENSIVE TEST

Define transverse waves and longitudinal waves. Draw a simple diagram of each

Study of air bubble induced light scattering effect on the image quality in 193 nm immersion lithography

Optical measurement in carbon nanotubes formation by pulsed laser ablation

LocoMorph Deliverable 4.4 part 2.4

AIR BEARINGS IN HIGH PRECISION SYSTEMS

Variation of Nordic Classic Ski Characteristics from Norwegian national team athletes

Seismic Survey Designs for Converted Waves

Vibration-Free Joule-Thomson Cryocoolers for Distributed Microcooling

Indian Institute of Technology Kanpur Samtel Centre for Display Technologies

The Usage of Propeller Tunnels For Higher Efficiency and Lower Vibration. M. Burak Şamşul

OPERATION. Estimated kerf width compensation. HPR260 Manual Gas Instruction Manual 4-9

Transcription:

Quartz etch process to improve etch depth linearity and uniformity using Mask Etcher IV Sunil Srinivasan *, Jason Plumhoff, Russ Westerman, Dave Johnson, Chris Constantine Unaxis USA, Inc. 10050 16 th St N, St. Petersburg, FL 33716, USA ABSTRACT Alternating Aperture Phase Shift masks (alt-apsm) are being increasingly used to meet present day lithography requirements by providing increased resolution. The quartz dry etch is a critical step in the manufacture of these photomasks. Etch depth linearity, phase uniformity and minimum etched surface roughness are critical factors.to achieve this, etched quartz structures need to have good selectivity to resist / chrome, vertical sidewalls and good etch depth uniformity over the mask area. Using the Mask Etcher IV at Unaxis USA, a series of experiments were performed to study and identify the trends in quartz etching for photomasks. Etch depth uniformity was measured using an n&k1700rt and etch depth linearity from feature sizes ~0.4 µm to ~1.4 µm was measured using an AFM. Cross sections of the ~0.6 µm structure were obtained using a SEM to check for profile and any evidence of micro trenching. After several set-up experiments, an optimized process to minimize etch depth linearity and improve etch depth uniformity was obtained and is presented here. Keywords: Quartz Etch, etch uniformity 1. INTRODUCTION Alternating Aperture Phase Shift Masks (AAPSM) provide enhanced resolution by phase shifting the transmitted light at feature boundaries. The quartz etch forms a critical part of the fabrication of AAPSM s since the quartz etch depth determines the phase difference at feature boundaries. The ITRS roadmap for the 65 nm node requires a phase uniformity of 180 ± 1 and a linearity of 2 range which translates to an etch depth uniformity of 2 nm. In addition, the etched features should be free of microtrenching and should have vertical sidewalls. In the absence of a suitable etch stop layer in quartz, the etch process should have an etch rate which is low enough to permit a level of process control necessary to achieve mean to target specifications. At Unaxis, the Mask Etcher IV Quartz module was designed to meet these stringent demands. Using a combination of hardware and process optimization, etch depth uniformity and etch depth linearity are initially characterized and then optimized. 2. APPROACH 2.1 Experimental Approach The experimental approach was derived from previous work on earlier generation quartz etch tools. 1,2 The goals were to improve both the selectivity and etch linearity, while preserving the etch uniformity and profile. Initially a series of screening experiments was performed to understand the effects of process parameters (including process gas type) on etch profile and micro trenching, linearity, etch rate and selectivity. At this point etch rate uniformity was a secondary consideration. *Sunil.Srinivasan@unaxis.com; phone 1 727 577-4999; fax 1 727 577-7035; semiconductors.unaxis.com

From these experiments, the radial and linear components of the etch depth uniformity were quantified and adjusted by modifications within the hardware. Whereas the linear component of the uniformity is entirely due to hardware asymmetry, the radial component is also influenced by process parameters. Because of this inevitable interaction, a second process iteration was performed using the modified hardware to generate an optimized process and hardware suite. 2.2 Test Patterns Two different test patterns were used to characterize the quartz etch. The first pattern Tampa SEM was exposed by a laser writer onto 5000Å of OCG895i resist. The pattern has a line-space grating structure and SEM lines with varying feature sizes. The pattern covers a 132mm x 132 mm area on the surface of the photomask. This pattern is evenly loaded with 50 % exposed quartz load. A second pattern called Tampa SEMLC is a similar pattern apart from the load. It is evenly loaded with less than 1% exposed quartz load. 2.3 Metrology The initial and post etch resist measurements were made using a reflectance based thin film measurement system. Etch depth uniformity was measured using N&k1700RT.A 64 point evenly distributed pattern that covered a 132 x 132 mm area was used for the uniformity measurement. The etch depth was averaged over a line space grating and feature size of ~600 nm. Multiple measurements were made to reduce measurement error. The Cr and the resist were removed using a standard Cl 2 /O 2 and O 2 chemistry respectively before etch depth measurements. A Digital Instruments 5000 Atomic Force Microscope (AFM) was used for etch depth linearity measurement. Using a high aspect ratio FIB tip, features in the range 400 nm to 1500nm were measured at a die located in the center of the mask. A 5 x 2 µm area of a line space grating was scanned for feature sizes and the etch depth over this scanned area was averaged for each feature size using bearing analysis in the AFM. The quality of the etch with respect to profile and microtrenching was determined by cross section analysis. using an Amray 3300 field emission SEM 3. RESULTS 3.1 Identification of process parameter space Bias Coupling The primary factor found to influence linearity is the substrate RF bias 5. This is expressed by the bias-coupling factor that is simply a normalized value. The trends of linearity and profile show strong correlation to this factor. Three screening experiments were performed with different bias coupling factors. Etch depth linearity was measured as a range of etch depths over feature sizes of 400 nm to 1500 nm. Cross sections of a 600 nm trench were obtained from all three masks etched. Fig.1. Etch Depth Linearity as a function of the bias coupling factor

In figure 1, the trend of etch depth linearity with the bias coupling factor is shown. At lower bias coupling, the bigger features etched faster than the smaller ones. This is labeled conventional RIE lag or forward lag. Not surprisingly, in this process regime, the etch rates were lower and the selectivity was high. The feature profiles also showed more slope in this regime. The cross section corresponding to this data point is shown in figure 2. The absence of microtrencing is also consistent with the lower bias. As the bias coupling is increased, the forward lag is reduced as the difference in etch rates between the smaller and bigger features is reduced. This is represented by data point B in figure 1. This trend is reflected in the cross section analysis of this mask. Any changes in sidewall slope are minimal and the absence of trenching is representative of this process regime. Fig. 2. Cross Section Data point A Fig. 3. Cross Section Data point A Fig. 4. Cross Section Data point C As the bias in increased further, the etch depth linearity reverses. The smaller features measure deeper as shown by data point C in figure 1. Corresponding to this trend, the cross section analysis reveals a trenched profile. This is illustrated in figure 4. Based on these screening experiments, bias coupling was determined to be a strong factor in controlling both etch depth linearity and microtrenching. The process regime where the linearity reverses from forward RIE lag to reverse RIE lag was the ideal operating regime since it is in this regime that good profiles without microtrenching are obtained.

3.2 Baseline Hardware configuration A baseline hardware configuration was used to characterize the etch depth uniformity. The etch rate of quartz is lower in this process regime which allows for mean to target performance of the etch. The etch rate on the smaller features is greater than the etch rate on the bigger features although as noted above, this can be optimized by modifying the biascoupling factor. The etch depth signature obtained from data point C in figure 1 is illustrated in figure 5. While the linear component of asymmetry can be reduced by careful design of the plasma source, the center fast etch signature is an attribute of the bulk plasma characteristics which dictate that the charged species flux is greater at the center than at the edge. This, we believe, leads to a greater etching yield at the center than at the edge of the mask Fig. 6. Table of Gen IV baseline results Fig. 5. Etch Depth signature Baseline hardware configuration 3.3 Hardware Modification Etch Depth Uniformity The difference in etch rates between the center and the edge of the mask can be remedied with a hardware modification which works towards eliminating the radial etch signature. With the hardware modification in place, initial optimization experiments were performed.. Fig. 7. Etch Depth signature Hardware Modification Process A

Importantly, we found that with the hardware modification in place, the radial uniformity signature can be changed by changing only process parameters. This is illustrated in figures 7 and 8 which shows that the etch signature can be bracketed from an edge fast to a center fast pattern by moving one factor within the process. The influence of this process parameter in modifying the etch signature is only evident with the hardware modification. The radial contributions of the etch depth uniformity signature are then eliminated by using an optimized process recipe Fig. 8. Etch Depth signature Hardware Modification Process B By further tuning the linear uniformity parameter within the ICP source, an optimized hardware configuration is obtained. 3.4 Process Optimization Both high load and low load parts were etched using this optimized hardware and process recipe. The etch depth linearity across various feature sizes was obtained. This is illustrated in figure 9. Both the high load and low load parts show good etch depth linearity. The etch depth signature of the high load mask is illustrated in figure 10. The corresponding cross section image of the high load part is figure 11. The etch depth signature shows good uniformity with 1.5 % non-uniformity across the mask. The vertical microtrenching is estimated to be less than 2 nm. Fig. 9. Optimized process Etch Depth linearity

Fig. 11. Cross Section High load Fig. 10. Optimized process Etch Depth uniformity High Load Fig. 13. Cross-Section Low load Fig. 12. Optimized process Etch Depth uniformity High Load Fig. 14. Table of Optimized process results

The etch depth uniformity signature for the low load part is shown in figure 12. The cross section shown in figure 13 shows good profile with minimal microtrenching. The correlation between the high load and low load parts is good. Since the etch rate of the low load parts is slightly higher than the high loads, a minor process adjustment is necessary. The common hardware suite and the agreement in process space are encouraging. Figure 14 is the table of optimized results for both the high load and low load masks. With a minor process change, wide ranges of quartz loads can be etched with good etch performance. There is overall improvement in the quartz etch performance from the Gen III Mask etcher. Figure 15 compares the performance of the Gen III Mask Etcher to the Gen IV Mask Etcher. The improvement in etch depth uniformity and linearity will meet the quartz dry etch requirements for AAPSM applications. Fig. 15. Comparisons Gen III Mask Etcher and Gen IV Mask Etcher Results 4. SUMMARY AND CONCLUSIONS Using a fluorocarbon based chemistry, quartz etch results are obtained for high load and load masks. By adjusting process parameters, forward and reverse RIE lags are observed. Using a proprietary hardware modification, the etch depth uniformity can be controlled across the mask. Process parameters are used to bracket the uniformity signature. Using an optimized hardware configuration and process recipe, good etch depth uniformity and etch depth linearity can be obtained across the mask. The occurrence of microtrenching is not completely eliminated but is al acceptable levels. With further experimentation in this process space, improvements in etched profile can be obtained. REFERENCES 1. R. Westerman, C. Constantine, J. Plumhoff, C. Strawn, Plasma Etching of Quartz for the Fabrication of Alternating Aperture Phase Shift Photomasks: Etch Rate Uniformity Study Utilizing a Next Generation ICP Source, Proc. SPIE Vol. 4186, 316-324 (2000) 2. J.Plumhoff, C.Constantine, J.Shin, B.Reelfs, E.Rausa, Evaluation of 193nm Alternating Aperture Phase Shift Mask Dry Etch Processes, Proc. SPIE Int. Soc. Opt. Eng. 5130, 253 (2003) 3. G.S Oehrlein et al., Fluorocarbon high-density plasmas. I. Fluorocarbon film deposition and etching using CF 4 and CHF 3, J.Vac.Sci.Tech A 12(2), Mar/Apr 1994 4. O. Joubert et al., Fluorocarbon high-density plasma. VI. Reactive ion etching lag model for contact hole silicon dioxide etching in an electron cyclotron resonance plasma, J.Vac.Sci.Tech. A 12(3) May/Jun 1994 5. A.C Westerheim et al., Substrate bias effects in high-aspect-ratio SiO 2 contact etching using an inductively coupled plasma reactor, J.Vac.Sci.Tech. A 13(3), May/Jun 1995