Investigation of Cr Etch Chamber Seasoning Pavel Nesladek a, Guenther Ruhl b, Marcel Kristlib b

Similar documents
Quartz etch process to improve etch depth linearity and uniformity using Mask Etcher IV

Plasma Cleaner. Yamato Scientific America. Contents. Innovating Science for Over 125 Years. Gas Plasma Dry Cleaner PDC200/210/510 PDC610G.

STS Advanced Oxide Etch DRIE System Trends

NNCI ETCH WORKSHOP SI DRIE IN PLASMATHERM DEEP SILICON ETCHER. Usha Raghuram Stanford Nanofabrication Facility Stanford, CA May 25, 2016

Photolithography. Operating Instructions

STS ICP-RIE. Scott Munro (2-4826,

Leak Checking Large Vacuum Chambers

March CS-1701F Reactive Ion Etcher

Helium Mass Spectrometric Leak Detection In Large Size Process Plants

FOUP material influence on HF contamination during queue-time

MANUAL FOR SPTS APS (DIELECTRICS ETCHER)

March Asher Operation

The SPI Sputter Coater Handbook

STS PECVD Instructions

Plasma Asher: March PX-500 User guide (May-30, 2017)

Ermenek Dam and HEPP: Spillway Test & 3D Numeric-Hydraulic Analysis of Jet Collision

Plasma Sources and Feedback Control in Pretreatment Web Coating Applications

PLASMA ENHANCED CHEMICAL VAPOR DEPOSITION (PECVD) SOP OXFORD PLASMALAB SYSTEM 100

Characterization and Modeling of Wafer and Die Level Uniformity in Deep Reactive Ion Etching (DRIE)

Calculating Total Uncertainty of Temperature Calibration with a Dry Block. Calibration White Paper. Beamex.

Effects of deep reactive ion etching parameters on etching rate and surface morphology in extremely deep silicon etch process with high aspect ratio

REACTIVE ION ETCHING OF SILICON DIOXIDE USING BOTH OXYGEN AND CARBON DIOXIDE AS GAS ADDITIVES. dames E. Constantino ABSTRACT

5.1 Introduction. Learning Objectives

Xactix XeF2 OPERATION MANUAL

LFE OEM TCD - Thermal Conductivity Detector

2.830J / 6.780J / ESD.63J Control of Manufacturing Processes (SMA 6303) Spring 2008

Influence of HALO and drain-extension doping gradients on transistor performance

CubeSat Balloon Drag Devices: Meeting the 25-Year De-Orbit Requirement

Notes-PECVD: Chamber 1

Deep Trench Metrology Challenges for 75nm DRAM Technology Peter Weidner, Alexander Kasic, Thomas Hingst Thomas Lindner, Qimonda, Dresden, Germany

OXFORD PLASMALAB 80PLUS (CLOEY)

Paper 2.2. Operation of Ultrasonic Flow Meters at Conditions Different Than Their Calibration

COMELEC C-30-S Parylene Coating System

Standard Operating Manual

Comparative Study of Oxygen Permeation Through Polymers and Gas Barrier Films

MODELLING OF FUME EXTRACTORS C. R.

Usage Policies Notebook for Xenon Difluoride (XeF 2 ) Isotropic Si Etch

Hazard Operability Analysis

Standard Operating Manual

Succeeding with Production Air Leak Testing Methods. Paul Chamberlain President, CEO

CHAPTER 132. Roundhead Stability of Berm Breakwaters

The MRL Furnaces USED FOR THIS MANUAL COVERS

23 RD INTERNATIONAL SYMPOSIUM ON BALLISTICS TARRAGONA, SPAIN APRIL 2007

CRYSTALLIZATION FOULING IN PACKED COLUMNS

International Journal of Technical Research and Applications e-issn: , Volume 4, Issue 3 (May-June, 2016), PP.

Test Method of Trap Performance for Induced Siphonage

OLED vacuum deposition cluster system Sunicel Plus 400 / Plus 400L (Sunic Systems)

Three Columns Gas Chromatograph Analysis Using Correlation between Component's Molecular Weight and Its Response Factor

Fire safety of staircases in multi-storey buildings The results of measurements in Buildings and Simulations

FT28_mks.qxp 21/11/ :06 Page 1

LOW PRESSURE EFFUSION OF GASES revised by Igor Bolotin 03/05/12

Barrier Development and Evaluation Methodology. D.S. Musgrave 1 1 Thermal Visions, Inc., Granville, USA

An Application of Signal Detection Theory for Understanding Driver Behavior at Highway-Rail Grade Crossings

SCIENTIFIC COMMITTEE SEVENTH REGULAR SESSION August 2011 Pohnpei, Federated States of Micronesia

Intelligent SUNTEX DC-5310(RS) Dissolved Oxygen Transmitter

Dick Bowdler Acoustic Consultant

Remote Plasma Cleaning from a TEM Sample Holder with an Evactron De-Contaminator ABSTRACT

Standard Operating Manual

Circuit breaker diagnostic testing. Megger is a registered trademark

High Aspect Ratio DRIE on the STS ICP-RIE

Effect of airflow direction on human perception of draught

Increasing the productivity of ICP-OES with the Thermo Scientific Qtegra ISDS Software

AIR EJECTOR WITH A DIFFUSER THAT INCLUDES BOUNDARY LAYER SUCTION

AIS data analysis for vessel behavior during strong currents and during encounters in the Botlek area in the Port of Rotterdam

Denise L Seman City of Youngstown

Savannah S100 ALD at SCIF, UC Merced Standard operating Procedure

University of MN, Minnesota Nano Center Standard Operating Procedure

Refinements in the Plasma Processing of Polyimide and B.C.B.

Standard Operating Manual

Operating Procedures for Metal Evaporator I

Fail Operational Controls for an Independent Metering Valve

SEMI-SPAN TESTING IN WIND TUNNELS

A NOVEL SENSOR USING REMOTE PLASMA EMISSION SPECTROSCOPY FOR MONITORING AND CONTROL OF VACUUM WEB COATING PROCESSES

Chapter 5: Methods and Philosophy of Statistical Process Control

1999 Microcomponents Technology SLYA017A

Arizona State University Center for Solid State Electronic Research. Table of Contents. Issue: C Title: Oxford Plasmalab 80plus (Floey) Page 1 of 8

Technical Report. Comparison of Ventilation Standard Calibration Methods

Operator Exposed to Chlorine Gas

Basic ICP Operating Procedures

Effective Mixing Method for Stability of Air Content in Fresh Mortar of Self-Compacting Concrete in terms of Air Diameter

Drilling Efficiency Utilizing Coriolis Flow Technology

NORDSON MARCH PX-1000 PLASMA ASHER STANDARD OPERATING PROCEDURE Version: 1.0 July 2016

Results of mathematical modelling the kinetics of gaseous exchange through small channels in micro dischargers

D-Case Modeling Guide for Target System

LOW PRESSURE EFFUSION OF GASES adapted by Luke Hanley and Mike Trenary

Insurance. The practical application. of credibility models in the rating of Health

Plasma II (AXIC) Standard Operating Procedure. Revision: 1.0 Last Updated: Feb.6/2013, Revised by Grace Li

INDIUM CORPORATION TECHNICAL PAPER. Does Thermal Cycling Impact the Electrical Reliability of a No-Clean Solder Paste Flux Residue.

University of MN, Minnesota Nano Center Standard Operating Procedure

PUBLISHED PROJECT REPORT PPR850. Optimisation of water flow depth for SCRIM. S Brittain, P Sanders and H Viner

General Accreditation Guidance. User checks and maintenance of laboratory balances

Lab 3 Introduction to Quantitative Analysis: Pumps and Measurements of Flow

Operating Procedures for the. SAMCO ICP RIE System

INTRODUCTION: EXPERIMENTAL NOTES:

Technical Note. Determining the surface tension of liquids by measurements on pendant drops

SPIRIT III Radiometer Saturation Effect

EUV Mask Handling Standards

Technical Data Sheet MF010-O-LC

Unifilm Technology PVD-300 Sputter Deposition Operation Instructions

INFLUENCE OF INITIAL PRESSURE ON THE IGNITION AND COMBUSTION OF A PROPELLING CHARGE. Patrice Franco

Transcription:

Investigation of Cr Etch Chamber Seasoning Pavel Nesladek a, Guenther Ruhl b, Marcel Kristlib b a Advanced Mask Technology Center, Dresden, Germany, b Infineon Technologies AG, Mask House, Munich, Germany Abstract One of the most critical steps for photomask CD off-target is the patterning of the mask. Here the instability of the dry etch process contributes directly to the stability of the CD value. The increasing demands on high-end masks cause a narrowing of both mask CD off-target and CD uniformity specifications, and accordingly the process stability has to be improved to fulfil these criteria. In this work we investigated the correlation between hardware parameters, basic etch process parameters and the corresponding CD mean-to-target value. Correlations between CD mean-to-target and Cr etch rate as well as effects of chamber seasoning after wet cleans are discussed. Keywords: Cr plasma etch, MTT stability, seasoning 1. Introduction The increasing complexity of semiconductor technology and the scaling down of the feature size are the reasons for tightening the specifications for photomasks. In particular, the critical dimension uniformity (CDU) and mean-to-target (MTT) are the most critical parameters for mask manufacturing and are approaching physical limits of the processes used. Crucial steps for the usability of the mask are the patterning steps, in particular the Cr etch process and the MoSiN etch process for half-tone masks. The decreasing range of the MTT approaches the natural scattering of the process, and so effects like changes of the MTT caused by the regular wet cleaning of the etch chamber are becoming more important. Usually process monitoring is restricted to tracking of machine parameters of the etch system and process parameters like etch rates. In some cases these data help to find the root causes of process deviations. In contrast, there are some other cases where these data are not sufficient for root cause analysis. In this work we will show examples of both cases, which affect MTT deviations after etch chamber wet cleans. 2. Methodology This analysis was done on a Cr etch process performed on an ETEC Tetra I ICP mask etch system. The etch chemistry utilized was Cl 2, O 2 and He, and the endpoint was detected by OES. The machine parameters were extracted using a company-customized software. Monitoring was done on stepper-exposed masks with ip6 photoresist. The Cr etch rate was determined by dividing the nominal Cr thickness (stable by ± 1 nm) by

the endpoint etch time. The photoresist etch rates were determined by thickness measurements performed with a Nanometrics Nanospec 61 reflectometer. CD measurements were performed on a KLA 61 CD-SEM.. Results.1 Mean-to-target stability Due to particle and etch residue accumulation, plasma etch chambers have to be cleaned on a regular basis. The clean strategy of the current Cr etch system is based on the use of swap-kits consisting of a complete upper chamber (chamber body and ceramic ICP dome). The chamber is completely disassembled and replaced by a freshly cleaned chamber kit. The contaminated chamber kit is then wet-cleaned by external suppliers and thus serves as a swap-kit for the next chamber clean. The advantage of this procedure is the short chamber downtime and the lower risk of insufficient cleans under time-critical circumstances. However, there is some risk that a difference in chamber hardware leads to shifts of the process characteristics. One event, which is further described in this paper, appeared as a Cr etch rate drift. At the same time the photoresist (PR) etch rate stayed unaffected, so there was a resultant shift in Cr / PR selectivity, which is inversely proportional to the clear etch bias [1]. This became evident as a shift in CD MTT of about 2 nm, whereas the CD uniformity was not affected. Figure 1 depicts the time to etch endpoint compared with MTT on dark structures. This figure clearly shows the correlation of both parameters during the event of a chamber change. Before this change the MTT was rather stable, then began increasing immediately after the chamber change. MTT [nm] 2 1-1 -2 - - MTT EP time Chamber clean -5 8.6.22.7.22 28.7.22 22.8.22 16.9.22 11.1.22 5.11.22.11.22 85 8 75 7 65 6 etch time [sec] 55 Date Figure 1: Cr etch rate and CD jump after chamber change due to wet clean The etch rate monitor data in Figure 2 show that this phenomenon occurred several times

(events 2 & ), but there was also a chamber change without such an effect (event ). Another event seems to show an inverse behavior (event 1). r (Cr) 1 2 r(pr) Sel. Cr/PR etch rate [nm/min] selectivity Cr/PR 1.12.1.2.2 1..2 1.6.2 18.8.2 22.1.2 26.12.2 Date Figure 2: Data from Cr and PR etch rate monitor masks To explain these phenomena different kinds of tracked data were analyzed. Since the tool process parameters are tracked automatically during etch of a biweekly monitor mask, this dataset was analyzed first..2 Process Stability From previous DoE data the process sensitivity to process parameters can be obtained by normalization of the parameter space as shown in Figure. Here the variation of the etch bias and thus of the CD value as a function of three different factors becomes obvious. The diagram shows the effect of O 2 concentration in active gas, source (ICP) power and pressure. Bias (RF) power does not have a significant impact on etch bias in the current process. The pressure shows the highest sensitivity; for example, a process pressure increase of 1 % decreases the CD value by about.5 nm. The O 2 concentration changes the CD about 2.2 nm per 1% in active gas and the influence of source power is only.5 nm per 1 % variation.

. p CD [nm]. Ws O 2 -. -1. -.5..5 1. parameter deviation [%] Figure : Perturbation plot for the CD value. The CD is function of the O 2 concentration in active gas (O 2 ), source power (W s ) and pressure (p) variation. Unfortunately, this approach does not allow tracking and controlling of the changes in chamber seasoning, which could significantly affect Cr etch rate and hence the etch selectivity.. Process Monitoring By observing the Cr etch process for a long period of time and comparing the data read out of the tool and the measured parameters of the etched mask, a set of monitoring parameters was found. This includes two kinds of parameters: a) Process parameters, which can be set in tool recipes like pressure, power, reflected power, gas flows and temperatures. Parameters in the first set can be identified from DoEs. The influence of these parameters on etch bias (and on MTT) or CD uniformity can be clearly resolved and described from experiments, as previously shown in figure. b) Process parameters that cannot be tracked and influenced by tool parameter setting directly. In this group belong for example Cr and resist etch rate. The second set contains parameters, which cannot be controlled by tool parameter settings, but nevertheless affect the final results to the same magnitude as the first set. They cannot be simply corrected and can depend on the "history" of the particular chamber, such as seasoning, polymer build-up on the chamber walls, etc. For the Cr etch process monitoring we decided to track the following parameters:

Parameter which cannot be changed in the tool recipe Cr etch rate resist etch rate selectivity resist etch uniformity Parameter which can be changed in the tool recipe chamber pressure -O 2 flow -Cl 2 flow -He flow -forward source power -reflected source power -forward bias power -reflected bias power -settings of matchbox From these parameters the Cr and photoresist etch rate and thus the selectivity are the most sensitive to the seasoning of the chamber and are used for process monitoring. To obtain the parameter in a significant frequency, twice a week a monitoring mask was etched to determine Cr and resist etch rate, resist uniformity, and selectivity. Parameters on the right hand side of the list were determined for these runs as well. Figure shows that the gas flows are very stable over time and the signal resolution is far beyond a significant contribution to CD variations as indicated in Figure. The missing data points are due to software problems. Pressure and throttle valve position (Figure 5) show a different picture. Whereas the pressure reading shows a constant value, the throttle valve position shows a strong increase during event 1. This indicates a problem with pressure control. The foreline pressure (Figure 6) supports this finding, showing an increase during the questionable time period. Actually, the chamber manometer could be identified as defective and was replaced. Unfortunately, there is no hint on the causes for the other events. Chamber wall and cathode temperature also do not show any excursions. Looking on the RF generator parameters (Figure 7) forward and reflected of bias (RF1) and source (RF2) power indicates some features. Bias power does not show any indication of a process drift. After event 1 occurred reflected source power (and thus also forward source power) exhibited a significant drop, which came back to its normal level after the Cr etch rate stabilization caused by a chamber change (event 2). The same behavior is seen after the other Cr etch rate drift due to another chamber change (event ). The chamber change without a Cr etch rate drift (event ) only showed a slight increase of the reflected source power. As mentioned earlier (Figure ) the variation of source power has only a very small effect on etch bias, this cannot be the root cause for the observed CD drift. As reflected power is a function of the chamber impedance this effect only reflects the reaction on a process change, which is not directly tracked by the machine parameter monitoring.

deviation [%] 5 2 1-1 -2 291_AFC O2 2911_AFC CL2 291_AFC HE - - 1 2-5 1.12.1.2.2 1..2 1.6.2 18.8.2 date 22.1.2 26.12.2 Figure : Monitoring data obtained from the Cr etch chamber by tracking machine data: mass flow controller readouts of O 2 (AFC O 2 ), Cl 2 (AFC Cl 2 ) and He (AFC He) manometer deviation [%] 1.8.6..2 -.2 -. -.6 -.8 1 2 Chamber c manometer Throttle valve step 1 8 6 2-2 valve step deviation [%] -1-1.12.1.2.2 1..2 1.6.2 18.8.2 22.1.2 26.12.2 date Figure 5: Monitoring data obtained from the Cr etch chamber by tracking machine data: pressure (Chamber c manometer) and throttle valve position (Throttle valve step)

Dome temperature Wall temperature Cathode temperature foreline pressure 1 2 1.12.1.1.2 1..2.5.2 29.7.2 27.9.2 26.11.2 date Figure 6: Monitoring data obtained from the Cr etch chamber by tracking machine data: foreline pressure, chamber wall/dome temperature and cathode temperature 126_RF forw _pow er 1 2 1251_RF refl_pow er 1296_2nd_RF refl_pow er 1281_2nd_RF forw _pow er 1.12.1.2.2 1..2 1.6.2 18.8.2 22.1.2 26.12.2 date Figure 7: Monitoring data obtained from the Cr etch chamber by tracking machine data: bias power (RF forw/refl_power) and source power (RF2 forw/refl_power). Chamber seasoning In order to identify the effect of wet clean and thus the new chamber condition on the CD MTT performance, the effect of chamber seasoning was studied. The drifts of the Cr etch rate found in event 2 and were estimated to occur during 1.5 plasma hours until reaching a stable level. One explanation of the effect could be the possible deposition of etch products on the chamber walls. Since the etch products, like CrO 2 Cl 2 and CO 2, are highly volatile, this scenario appears to be unlikely. In order to clarify the effect an experiment was designed to observe the Cr and photoresist etch rates as well as etch bias. First, baseline data were obtained using a freshly cleaned chamber. Then a typical etch process was run for 5 hours on a quartz blank to analyze the effect of the plasma changing the internal chamber

wall surfaces. After this treatment the chamber was cooled down for 1 hour and the Cr and PR etch rates and etch bias were obtained again. Finally an etch process was run using Cr foil as a substrate for 1.5 hours. After this, the etch rates and etch bias were determined again. Neither the changes in PR and Cr etch rates, nor in MTT were significant as depicted in Figure 8. This result is consistent with the findings of J. Clevenger et al. [2] using XPS analysis of ceramic coupons positioned on the internal chamber surface. The analysis is consistent with the fact that this process drift did not occur after all performed chamber changes. 1 12 1 8 6 MTT etch rate 2 pre 5h Qz Plasma post 5h Qz Plasma post 1,5h Cr Plasma Figure 8: Cr etch rate and MTT at different chamber conditions. This behavior could also be confirmed on regular product masks (Figure 9). MTT [nm] 1 8 6 2-2 - -6-8 -1 pre wet clean post wet clean post conditioning Figure 9: MTT stability of product masks at different chamber conditions.

This result disproves the hypothesis of chamber seasoning effects, so another explanation for the root cause of the CD shift effect has to be found..5 Chamber wet cleaning method According to the cleaning protocol the chambers were completely disassembled and the parts consisting of ceramic and anodized aluminum parts were sent to an external cleaning supplier. The wet cleaning procedure itself was a combination of physical and chemical treatments followed by enhanced temperature drying and vacuum sealing in polyethylene film. During the life of the chambers the cleaning supplier was changed. This also meant that the wet cleaning procedures were slightly different at different times. This led us to do a comparison of the behavior of the same chambers after the cleans by different suppliers on both of two etch systems. Table 1 now clearly shows the dependency of the etch rate and CD jump to correlate with the cleaning supplier. The explanation for this effect is probably a formation of a specific residual surface layer after the cleaning procedure of supplier A. The removal of this surface layer then needs a longer plasma treatment leading to the Cr etch rate drift we observed. Supplier A Supplier B Chamber #1 2x MTT instable MTT stable Chamber #2 MTT stable Table 1: MTT stability of different chambers. Conclusion Although monitoring of the machine parameters of an etch system is very valuable to find process excursions, their use for analyzing root causes of process deviations is restricted. In this work we found Cr etch rate and thus MTT drifts after Cr etch chamber wet cleans, which could be assigned to a certain wet clean supplier. After changing the supplier the process stability could be maintained. We also could show that there is no significant effect of Cr etch chamber seasoning on the MTT performance of the process.

Acknowledgments The authors want to thank Christian Ebi, Infineon Technologies Mask House, for his help with MTT analysis. References [1] J. Hochmuth, G. Ruhl, T. Coleman, "Control methodology of off-target for varying pattern densities with chrome dry etch", SPIE vol. 87, pp. 297-6, 1999. [2] J.O. Clevenger, M.J. Buie, N.L. Sandlin, Effect of chamber seasoning on the chrome dry etch process, SPIE vol. 51, pp. 92-1, 2.