STS Advanced Oxide Etch DRIE System Trends

Similar documents
STS DRIE User SOP Location: NRF Cleanroon, Dry Etch Bay

NNCI ETCH WORKSHOP SI DRIE IN PLASMATHERM DEEP SILICON ETCHER. Usha Raghuram Stanford Nanofabrication Facility Stanford, CA May 25, 2016

Usage Policies Notebook for STS DRIE System

R I T. Title: STS ASE Semiconductor & Microsystems Fabrication Laboratory Revision: Original Rev Date: 01/21/ SCOPE 2 REFERENCE DOCUMENTS

Unaxis ICP/RIE SOP Revision 8 09/30/16 Page 1 of 5. NRF Unaxis ICP/RIE Etch SOP

Effects of deep reactive ion etching parameters on etching rate and surface morphology in extremely deep silicon etch process with high aspect ratio

STS ICP-RIE. Scott Munro (2-4826,

Standard Operating Manual

Quartz etch process to improve etch depth linearity and uniformity using Mask Etcher IV

EMN04, October 2004, Paris, France OPTIMIZED ULTRA-DRIE FOR THE MEMS ROTARY ENGINE POWER SYSTEM

March CS-1701F Reactive Ion Etcher

High Aspect Ratio DRIE on the STS ICP-RIE

MANUAL FOR SPTS APS (DIELECTRICS ETCHER)

Arizona State University Center for Solid State Electronic Research. Table of Contents. Issue: C Title: Oxford Plasmalab 80plus (Floey) Page 1 of 8

REACTIVE ION ETCHING OF SILICON DIOXIDE USING BOTH OXYGEN AND CARBON DIOXIDE AS GAS ADDITIVES. dames E. Constantino ABSTRACT

Standard Operating Manual

Basic ICP Operating Procedures

Edge Isolation Tool. Standard Operating Procedure. Version 1.1. Date: Prepared by, Sandeep S S. Department of Electrical Engineering

Arizona State University NanoFab PLASMATHERM 790 RIE. Version A

5.1.3 Mechanical Hazards Drive assemblies have sufficient power to cause injury. Keep hands, fingers, clothing and tools clear of moving parts.

Standard Operating Manual

Plasma Cleaner. Yamato Scientific America. Contents. Innovating Science for Over 125 Years. Gas Plasma Dry Cleaner PDC200/210/510 PDC610G.

University of MN, Minnesota Nano Center Standard Operating Procedure

Plasma 1 (Technics) Standard Operating Procedure. Revision: 1.0 Last Updated: Feb.5/2013, Revised by Grace Li

Plasma II (AXIC) Standard Operating Procedure. Revision: 1.0 Last Updated: Feb.6/2013, Revised by Grace Li

Evaluating Surface Wettability for SET-NA Ontos7 Atmospheric Plasma Treated Samples

Notes-PECVD: Chamber 1

Investigation of Cr Etch Chamber Seasoning Pavel Nesladek a, Guenther Ruhl b, Marcel Kristlib b

Characterization and Modeling of Wafer and Die Level Uniformity in Deep Reactive Ion Etching (DRIE)

NORDSON MARCH PX-1000 PLASMA ASHER STANDARD OPERATING PROCEDURE Version: 1.0 July 2016

Residual Gas Analysis Systems for Industry

Usage Policies Notebook for Xenon Difluoride (XeF 2 ) Isotropic Si Etch

Nanofabrication Facility: ECR Etcher SOP Rev. 01b, March 06. Standard Operating Procedure for PlasmaQuest ECR II Etching

Usage Policies Notebook for Trion RIE / ICP Dry Etch

University of MN, Minnesota Nano Center Standard Operating Procedure

Refinements in the Plasma Processing of Polyimide and B.C.B.

Standard Operating Manual

Plasma Asher: March PX-500 User guide (May-30, 2017)

Plasma-Therm PECVD. Operating Characteristics. Operating Instructions. Typical Processes. I. Loading. II. Operating

Operating Procedures for the. SAMCO ICP RIE System

Manufacturing Processes for WSi 2 -GPSOI Substrates and their Influence on Cross-talk Suppression and Inductance

OXFORD PLASMALAB 80PLUS (CLOEY)

BEST KNOWN METHODS. Transpector XPR3 Gas Analysis System. 1 of 6 DESCRIPTION XPR3 APPLICATIONS PHYSICAL INSTALLATION

STS PECVD Instructions

The SPI Sputter Coater Handbook

Unaxis PECVD. SiH4 (5% in He)

University of Minnesota Nano Fabrication Center Standard Operating Procedure

Chuck Cleaning Wafer (CCW) to remove dusts / particles on wafer chuck (Electrostatic, Vacuum, Mechanical) to be cause of machine troubles

Valved RF Plasma Sources : VRF

Thermcraft Tube Furnace General Use

SSI Solaris 150 RTA Revision /27/2016 Page 1 of 9. SSI Solaris 150 RTA

PLASMA ENHANCED CHEMICAL VAPOR DEPOSITION (PECVD) SOP OXFORD PLASMALAB SYSTEM 100

The Experts in Vacuum Solutions

Plasma Sources and Feedback Control in Pretreatment Web Coating Applications

COATINGS SPRAY GUIDE Fast Set Urethane 530

Agilent ICP-MS Interactive Troubleshooting tool for Plasma ignition problem

CHEMICAL ENGINEERING SENIOR LABORATORY CHEG Initiated Chemical Vapor Deposition

Nordiko Metal Sputtering System Standard Operating Procedure

Improved Sputter Depth Resolution in Auger Thin Film Analysis Using In Situ Low Angle Cross-Sections

PRad Target. Chris Keith JLab Target Group

Leaks, contamination and closed loop control how RGAs make coating processes more profitable

Xactix XeF2 OPERATION MANUAL

Photolithography. Operating Instructions

Standard Operating Manual

Laser Gases and Support

Applications Note: Use of "pentane equivalent" calibration gas mixtures

Chapter 2 General description of the system

Oxygen & Binary Gas Measurement and Analysis

March Asher Operation

Lam Rainbow and TCP Product Status Checklist. System Type: Poly Etcher System Model: 4420 D.O.M.:

Short Introduction to Cryo-Pumps and Refrigerators. Dr Graham Rogers Leybold UK Ltd.

Trion PECVD SOP IMPORTANT: NO PLASTIC, TAPE, RESISTS, OR THERMAL PASTE ARE ALLOWED IN THE CHAMBER

Approved by Principal Investigator Date: Approved by Super User: Date:

Introduction of Vacuum Science & Technology. Diffusion pumps used on the Calutron mass spectrometers during the Manhattan Project.

Unifilm Technology PVD-300 Sputter Deposition Operation Instructions

University of Minnesota, MN Nano Center Standard Operating Procedure

Nanofabrication Facility: PECVD SOP Rev. 00, April 24

I.CHEM.E. SYMPOSIUM SERIES NO. 97 BUOYANCY-DRIVEN NATURAL VENTILATION OP ENCLOSED SPACES

Improvements in the Reliability, Costs and Processing of WLP/RDL Circuits

The Broadest Gauging Line in the Industry

OLED vacuum deposition cluster system Sunicel Plus 400 / Plus 400L (Sunic Systems)

PLASMA PHYSICS ADVANCED LAB

Standard Operating Manual

Procedure 1: Volume vs. Pressure 1.) Using the lap tops, go to the Physics Education Technology from the University of Colorado at:

JETFIRST 150 RTA SYSTEM OPERATING MANUAL Version: 2 Feb 2012

FOUP material influence on HF contamination during queue-time

Application Note. ASTRON Remote Plasma Source Ignition Best Practices PROBLEM

A NOVEL SENSOR USING REMOTE PLASMA EMISSION SPECTROSCOPY FOR MONITORING AND CONTROL OF VACUUM WEB COATING PROCESSES

2.830J / 6.780J / ESD.63J Control of Manufacturing Processes (SMA 6303) Spring 2008

Development of Cleaning and Processing Techniques for UHV/XHV at Daresbury Laboratory Keith Middleman

EE 432 Lab 3 PMOS source/drain lithography and diffusion

APPLICATION OF A CHANNEL PLATE IN FIELD-ION MICROSCOPY

RAPID THERMAL PROCESSOR (Annealsys AS-ONE 150) Lab Manual

LESKER #1 STANDARD OPERATION PROCEDURE

Glass Frit Wafer Bonding Sealed Cavity Pressure in Relation to Bonding Process Parameters. Roy Knechtel, Sophia Dempwolf, Holger Klingner

Training for Proofmaster M/S/Automat. Functional principle for airtightness testing

how to meet today s dissolved oxygen specifications with degasification membranes

MEMS for automotive and consumer electronics

Title: Xactix XeF2 Etcher Semiconductor & Microsystems Fabrication Laboratory Revision: A Rev Date: 03/23/2016

ICP- CVD Inductively Coupled Plasma - Chemical Vapor Deposition

Transcription:

Date: 7/16/07 STS Advanced Oxide Etch DRIE System A- INTRODUCTION The purpose of this document is to help for process development of the AOE DRIE. This document provides general trends but the actual results depend to the wafer size, pattern and exposed area. 1- STS AOE Process The STS AOE Deep Reactive Ion Etch (DRIE) system uses SF6, CF4, C4F8, Ar, O2 and He gases. Figure 1 shows the schematic of a STS ICP system. The system consists of a process chamber, a carousel load lock, a power distribution/electronics and M rack, chillers and a computer control station. Fig. 1: Schematic of the STS ICP system 1

Introduction The advanced oxide etch using the 375 source offers advantages of etch rate, cleanliness and achievable depth over RIE etching. The process is based round the use of an advanced source, with a lid mounted planar coil. The chamber walls are heated and are lined with magnets. The coil generates a high density plasma, and the magnets in the wall confine the ions to the plasma region. The oxide etch is physically driven so ion yield is an important parameter. General Operating Tips It is usually a good idea to do oxygen clean if the system is not going to be used for a length of time e.g. weekends, just in case it powers down. The quality of backside cooling is estimated through the helium leak rate. For an electrostatic clamp (ESC) a helium leak up rate below 30 mtorr/min is probably OK for most. However, unless there is a very good reason for going on, a leak this high should be investigated. For a weighted clamp (WTC) this should be below about 15 mtorr/min. Again, higher figures should be investigated. Quartz furniture is slowly consumed, but leaves the chamber in a much cleaner condition than the ceramic alternative. The quartz shields probably won t get sufficiently dirty anyway, but should not be bead blasted. They are far too fragile, expensive and time consuming to make, to risk this. As the chamber becomes more conditioned the plasma becomes more difficult to strike. This is presumably due to RF energy being used up heating the polymer formed on the chamber walls, rather than in ionising the gas atoms. There is a two part strategy to overcome this 1) Raise the C 4 F 8 flow and 2) raise the process pressure for a very short strike step and then reduce it to the chosen operating pressure. At higher flow rates or higher pressures a higher proportion of C 4 F 8 atoms collide with each other rather than the chamber walls encouraging the ionisation cascade. Increasing the C 4 F 8 flow to 30 sccm and increasing the pressure to e.g. 12 mtorr, simultaneously for e.g. 2s during striking then dropping it back to the required value is a good way of guaranteeing a strike. This is the strike option in the process recipe. It is important to make sure the wafer is being placed on the platen (WTC) or klicks (ESC) accurately following any maintenance, to avoid plasma damage. Following significant maintenance (e.g. a klicks change) it is worth re-checking the machine s performance with the STS standard etch rate and temperature test recipes, below. The chamber should be conditioned for 15 mins before running them. 2

Parameter Etch rate Temperature Coil power / W 1400 600 / W 300 300 Platen temperature /ºC 20 20 CF 4 flow /sccm 20 20 /mtorr 2 2 Time /mins 1 15 Silicon and Photo-resist Masks A typical baseline process is as follows: Parameter Si mask Resist mask Coil power / W 1300 1000 / W 500 300 Platen temperature /ºC 60 0 He flow /sccm 300 174 C 4 F 8 flow /sccm 18 10 H 2 flow /sccm 0 8 /mtorr 4 4 Typical results* Etch rate /nm/min 500 300 Selectivity /:1 20 4 Profile / >88 >88 *Typical results indicate what is achievable and are not specifications. Baseline processes tend to need adjustment from sample to sample and the most important trends are tabulated below. These apply within a window centred on the baseline process. Outside of this these simple relations don t necessarily apply. Characteristic Increase Effect Profile Angle Coil power Platen Temperature He flow Etch Rate Coil power C 4 F 8 flow He flow Selectivity Coil power 3

He flow Platen power Non-Uniformity Coil power He flow Metal Mask Processes This is a fundamentally different process and a typical baseline is shown below: Oxide/Quartz Parameter Value Coil power / W 1800 / W 180 Platen temperature /ºC 60 C 4 F 8 flow /sccm 80 O 2 flow /sccm 10 /mtorr 6 Typical results* Etch rate /nm/min >500 Profile / >88 Selectivity /:1 >50 *Typical results indicate what is achievable and are not specifications. The obvious differences are the exclusion of helium and hydrogen, the addition of oxygen and the much lower platen power. The most important trends are tabulated below. Increasing Characteristic Increase Effect Profile Angle Coil power Platen temperature Etch Rate Coil power Platen temperature (little) (little) Selectivity Coil power Platen temperature 4

Non-uniformity Coil power Platen temperature Pyrex Parameter Value Coil power / W 1700 / W 600 Platen temperature /ºC 60 C 4 F 8 flow /sccm 80 O 2 flow /sccm 10 /mtorr 6 Typical results* Etch rate /nm/min >500 Profile / >70 Selectivity /:1 >10 with pyrex are less well defined than with other silica etches, because of the variation in composition of pyrex glasses. Also different masks respond differently to different process changes. However, the following has been derived from experimental work on Cr masked samples. And may be a useful starting point. Etch rate appears to be strongly dependent on platen power, pressure and C4F8 flow and weakly on coil power while it is suppressed by He dilution. There is also a weak dependence on temperature. Selectivity depends on He (where used) and C 4 F 8 flow, coil power and inversely on temperature and platen power. However, for Cr masks there appears to an optimum oxygen concentration above and below which the selectivity drops. This is not normally observed for Al masks, where the selectivity appears to drop with increasing O 2 concentration. Profile angle depends on oxygen flow and platen temperature, C 4 F 8 flow and platen power. Chamber Clean and Condition Selective oxide etching is basically a highly polymeric process, depending on mask type. An oxygen plasma can be used to control the conditioning of the chamber, but after a while, it will be necessary to do a mechanical clean on the chamber internals. 5

Plasma Clean and Condition Typically after 30µm of etching, a plasma chamber clean is required. With highly polymeric processes, such as pyrex etches it is advisable to run a clean after each 20 µm of etching. The chamber should also be cleaned at any time the source heater is to be turned off, or the source cooled. A typical recipe is shown below: Parameter Oxygen only Coil power / W 1800 / W 100 Platen temperature /ºC 20 O 2 flow /sccm 99 APC / % 92 It is useful to monitor the trace on the datalog. This should have dropped, typically from around 32 to 20 for a 92% APC and 99 sccm flow after no more than about 30 minutes to a flat, stable value. If not the clean should be repeated until it has. Following a clean a short conditioning run, with the process conditions, is necessary. 20 minutes should be considered safe. Comments Higher coil powers can cause burning in resist. Plasma resistance (i.e. resistance to burning and selectivity) can be improved by curing the resist appropriately. DUV and hard baking can give tough resist without reflow. E-beam resist tends to have lower plasma resistance than photo-resist. Selectivity much greater than 6:1 over resist and 20:1 over silicon can lead to a drift in selectivity over several processes, and occasionally redeposition on the wafer, which can not be reversed with an oxygen plasma and require mechanical cleaning. Metal mask processes remain cleaner because of the effect of the oxygen in them. Relatively deep high aspect ratio etching, typically requires a high bias low pressure to prevent etch stop in the bottoms of trenches as the etch develops. This leads to poorer selectivities. It also reduces the aspect ratio dependence (ARDE) in the etch. Trenching at the base of etches is generally caused by too high a bias or a badly sloped mask, which deflects extra ions on to the etch base. The first can be controlled by dropping the platen power, the second by improving the mask. In general a mask profile between 80 and 90 should be enough for a vertical etch. It is usually easier to correct mask issues than to compromise the process to get round them. Similarly a foot at the base of the etch is generally due to too low a bias or an incompletely resolved mask. Metal masks seem to have two serious potential defects. The first is that the mask profile often tends to be quite shallow. Although the selectivity is generally high so that the 6

overall profile is quite good, there is usually a region of etch back at the top of the mask, where the thinnest bit of metal has been eroded. Secondly it seems that metal masks are very prone to micro-masking caused by sputtering of some surface contaminant. It is not clear whether this is some metal containing solvent/wet etch product left over from the mask definition, or whether there is some loose metal at the edges of the mask features which sputters more easily than the remainder of the mask. In the cases where the mask is adequately prepared, the etch process is very clean and the etch rate high. Silicon masks tend to sputter at an angle near 45, so that even when there is a substantial thickness of Si left, mask recession can cause a significant reduction in the etch profile angle. The high source temperature (130 C) is essential to give good selectivity and keep the chamber relatively clean. The tool should not be run with the source heater below this temperature and the source should not be allowed to cool without a plasma clean beforehand. Reference: STS documents @ http://www.stsystems.com/ Please contact Geoff Gardner (geoff@purdue.edu) Bill Sheldon (bills@purdue.edu) if you have any questions. This document is for STS ASE users only!! 7