Computing s Energy Problem:

Size: px
Start display at page:

Download "Computing s Energy Problem:"

Transcription

1 Computing s Energy Problem: (and what we can do about it) Mark Horowitz Stanford University horowitz@ee.stanford.edu 1 of 46

2 Everything Has A Computer Inside 2of 46

3 The Reason is Simple: Moore s Law Made Gates Cheap 3of 46

4 Dennard s Scaling Made Them Fast & Low Energy The triple play: Get more gates, 1/L 2 1/α 2 Gates get faster, CV/i α Energy per switch CV 2 α 3 Dennard, JSSC, pp , Oct of 46

5 Our Expectation Cray-1: world s fastest computer Mb memory (50ns cycle time) 40Kb register (6ns cycle time) ~1 million gates (4/5 input NAND) 80MHz clock 115kW In 45nm (30 years later) < 3 mm 2 > 1 GHz ~ 1 W CRAY-1 5of 46

6 Supporting Evidence 6of 46

7 Houston, We Have A Problem 7of 46

8 The Power Limit Watts/mm 2 8of 46

9 Clever Power Increased Because We Were Greedy 10x too large 9of 46

10 This Power Problem Is Not Going Away: P = α C * Vdd 2 * f L of 46

11 Think About It 11 of 46

12 Technology to the Rescue? 12 of 46

13 Problems w/ Replacing CMOS Pretty fundamental physics Avoiding this problem will be hard e- Its capability is pretty amazing fj/gate, 10ps delays, 10 9 working devices 13 of 46

14 Catch - 22 Investment Risk Building Computers = Large $ Very Different = High Risk Capital you need 14 of 46

15 The Truth About Innovation Start by creating new markets 15 of 46

16 Our CMOS Future Will see tremendous innovative uses of computation Capability of today s technology is incredible Can add computing and communication for nearly $0 Key questions are what problems need to be solved? Most performance system will be energy limited These systems will be optimized for energy efficiency Power = Energy/Op * Ops/sec 16 of 46

17 Processor Energy Delay Trade-off 17 of 46

18 The Rise of Multi-Core Processors 18 of 46

19 The Stagnation of Multi-Core Processors 19 of 46

20 Optimizing Parallel Machines (GPUs) Galal et al, Trans on Computers, July 2011, pp 913, of 46

21 Have A Shiny Ball, Now What? 21 of 46

22 Signal Processing ASICs Dedicated 1000 Energy Efficiency (MOPS/mW) CPUs CPUs+GPUs GP DSPs ~1000x Markovic, EE292 Class, Stanford, of 46

23 The Push For Specialized Hardware International Solid-State Circuits Conference 1.1: Computing s Energy Problem: (and what we can do about it) 23 of 46

24 Before Talking About Specialization 24 of 46

25 Don t Forget Memory System Energy 25 of 46

26 Processor Energy w/ Corrected Cache Sizes 26 of 46

27 Processor Energy Breakdown 8 cores L1/reg/TLB L2 L3 27 of 46

28 Data Center Energy Specs Malladi, ISCA, of 46

29 29 of 46

30 What Is Going On Here? Dedicated 1000 Energy Efficiency (MOPS/mW) CPUs CPUs+GPUs GP DSPs ~1000x of 46

31 ASIC s Dirty Little Secret All the ASIC applications have absurd locality And work on short integer data Video Frames Inter Prediction Intra Prediction CABAC Entropy Encoder Compressed Bit Stream Integer Motion Estimation Fractional Motion Estimation H % of Execution time is here Hamid et al, ISCA, of 46

32 Rough Energy Numbers (45nm) Integer FP Memory Add FAdd Cache (64bit) 8 bit 0.03pJ 16 bit 0.4pJ 8KB 10pJ 32 bit 0.1pJ 32 bit 0.9pJ 32KB 20pJ Mult FMult 1MB 100pJ 8 bit 0.2pJ 16 bit 1pJ DRAM nJ 32 bit 3 pj 32 bit 4pJ Instruction Energy Breakdown 25pJ 6pJ Control 70 pj I-Cache Access Register File Access Add 32 of 46

33 The Truth: It s More About the Algorithm then the Hardware All Algorithms GPU Alg 33 of 46

34 34 of 46

35 Highly Local Computation Model 35 of 46

36 Highly Local Computation Model 36 of 46

37 Highly Local Computation Model 37 of 46

38 Highly Local Computation Model 38 of 46

39 Compose These Cores into a Pipeline Program in space, not time Makes building programmable hardware more difficult 39 of 46

40 Working on System to Explore This Space Takes high-level program Graph of stencil kernels Maps to hardware level assembly Compute graph of operations for each kernel Currently we map the result to: FPGA, custom ASIC 40 of 46

41 Enabling Innovation You don t just compile applications to efficiency Need to tweak the application to fit constraints Need to enable application experts to play They know how to cheat and still get good results 41 of 46

42 Remember This Trade-off? Investment Risk Need to reduce cost to play Building constructors, not instances Capital you need 42 of 46

43 Not All Systems Are On The Bleeding Edge 43 of 46

44 App Store For Hardware 44 of 46

45 Challenge 45 of 46

46 A New Hope If technology is scaling more slowly We can incorporate current design knowledge into tools To create extensible system constructors If killer products are going to be application driven Application experts need to design them We can leverage the 1 st bullet to enable the 2 nd To usher in a new wave of innovative computing products 46 of 46

Fast Software-managed Code Decompression

Fast Software-managed Code Decompression Fast Software-managed Code Decompression Charles Lefurgy and Trevor Mudge Advanced Computer Architecture Laboratory Electrical Engineering and Computer Science Dept. The University of Michigan, Ann Arbor

More information

Cloud, Distributed, Embedded. Erlang in the Heterogeneous Computing World. Omer

Cloud, Distributed, Embedded. Erlang in the Heterogeneous Computing World. Omer Cloud, Distributed, Embedded. Erlang in the Heterogeneous Computing World Omer Kilic @OmerK omer@erlang-solutions.com Outline Challenges in modern computing systems Heterogeneous computing Co-processors

More information

VLSI Design 12. Design Styles

VLSI Design 12. Design Styles 1 9 8 5 1 9 8 7 1 9 9 1 1 9 9 3 1 9 9 9 2 0 0 1 2 0 0 5 2 0 0 7 2 0 0 9 Pr odu ctiv it y (T r an s./ St a f -M o nt h ) VLSI Design Last module: Floorplanning Sequential circuit design Clock skew This

More information

GOLOMB Compression Technique For FPGA Configuration

GOLOMB Compression Technique For FPGA Configuration GOLOMB Compression Technique For FPGA Configuration P.Hema Assistant Professor,EEE Jay Shriram Group Of Institutions ABSTRACT Bit stream compression is important in reconfigurable system design since it

More information

Fast Floating Point Compression on the Cell BE Processor

Fast Floating Point Compression on the Cell BE Processor Fast Floating Point Compression on the Cell BE Processor Ajith Padyana, T.V. Siva Kumar, P.K.Baruah Sri Satya Sai University Prasanthi Nilayam - 515134 Andhra Pradhesh, India ajith.padyana@gmail.com, tvsivakumar@gmail.com,

More information

Reducing Code Size with Run-time Decompression

Reducing Code Size with Run-time Decompression Reducing Code Size with Run-time Decompression Charles Lefurgy, Eva Piccininni, and Trevor Mudge Advanced Computer Architecture Laboratory Electrical Engineering and Computer Science Dept. The University

More information

Synchronous Sequential Logic. Topics. Sequential Circuits. Chapter 5 Steve Oldridge Dr. Sidney Fels. Sequential Circuits

Synchronous Sequential Logic. Topics. Sequential Circuits. Chapter 5 Steve Oldridge Dr. Sidney Fels. Sequential Circuits Synchronous Sequential Logic Chapter 5 Steve Oldridge Dr. Sidney Fels Sequential Circuits Topics What happens when we add memory? Latches Flip-Flops Clocks State Tables Reduction of States 10/6/2010 c

More information

Profile-driven Selective Code Compression

Profile-driven Selective Code Compression Profile-driven Selective Code Compression Yuan Xie and Wayne Wolf Electrical Engineering Department Princeton University Princeton, NJ 08540, USA yuanxie,wolf@ee.princeton.edu Haris Lekatsas NEC USA 4

More information

#19 MONITORING AND PREDICTING PEDESTRIAN BEHAVIOR USING TRAFFIC CAMERAS

#19 MONITORING AND PREDICTING PEDESTRIAN BEHAVIOR USING TRAFFIC CAMERAS #19 MONITORING AND PREDICTING PEDESTRIAN BEHAVIOR USING TRAFFIC CAMERAS Final Research Report Luis E. Navarro-Serment, Ph.D. The Robotics Institute Carnegie Mellon University November 25, 2018. Disclaimer

More information

A Novel Decode-Aware Compression Technique for Improved Compression and Decompression

A Novel Decode-Aware Compression Technique for Improved Compression and Decompression A Novel Decode-Aware Compression Technique for Improved Compression and Decompression J. Suresh Babu, K. Tirumala Rao & P. Srinivas Department Of Electronics & Comm. Engineering, Nimra College of Engineering

More information

Reduction of Bitstream Transfer Time in FPGA

Reduction of Bitstream Transfer Time in FPGA IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 9, Issue 2, Ver. III (Mar - Apr. 2014), PP 82-86 Reduction of Bitstream Transfer Time in

More information

A 64 Bit Pipeline Based Decimal Adder Using a New High Speed BCD Adder

A 64 Bit Pipeline Based Decimal Adder Using a New High Speed BCD Adder A 64 Bit Pipeline Based Decimal Adder Using a New High Speed BCD Adder Rahul Jain 1, Khushboo Singh 2, Ghanshyam Jangid 3 1 Assistant Professor GIT College Jaipur, Rajasthan, India 2 M.Tech Student, Suresh

More information

Multicore Real-Time Scheduling

Multicore Real-Time Scheduling Multicore Real-Time Scheduling Bjorn Andersson and Dionisio de Niz Software Engineering Institute Carnegie Mellon University Pittsburgh, PA 15213 Copyright 2015 Carnegie Mellon University This material

More information

Spacecraft Simulation Tool. Debbie Clancy JHU/APL

Spacecraft Simulation Tool. Debbie Clancy JHU/APL FSW Workshop 2011 Using Flight Software in a Spacecraft Simulation Tool Debbie Clancy JHU/APL debbie.clancy@jhuapl.edu 443-778-7721 Agenda Overview of RBSP and FAST Technical Challenges Dropping FSW into

More information

MotoTally. Enduro Event Management and Reporting Application

MotoTally. Enduro Event Management and Reporting Application MotoTally Enduro Event Management and Reporting Application The Problem The management of enduro events (signup, scoring, posting results) is either done manually, or involves a combination of manual effort

More information

The pinnacle of car racing is Formula One, and Red Bull

The pinnacle of car racing is Formula One, and Red Bull Success in Formula One requires an enormous amount of coordination. Collaboration between engineering, IT and manufacturing allows the team to innovate rapidly, introducing new updates between every race.

More information

Instruction Cache Compression for Embedded Systems by Yujia Jin and Rong Chen

Instruction Cache Compression for Embedded Systems by Yujia Jin and Rong Chen Instruction Cache Compression for Embedded Systems by Yujia Jin and Rong Chen Abstract Code compression could lead to less overall system die area and therefore less cost. This is significant in the embedded

More information

MEMORY is one of the key driving factors in embeddedsystem

MEMORY is one of the key driving factors in embeddedsystem IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 27, NO. 4, APRIL 2008 673 Bitmask-Based Code Compression for Embedded Systems Seok-Won Seong and Prabhat Mishra, Member,

More information

CS 341 Computer Architecture and Organization. Lecturer: Bob Wilson Cell Phone: or

CS 341 Computer Architecture and Organization. Lecturer: Bob Wilson Cell Phone: or CS 341 Computer Architecture and Organization Lecturer: Bob Wilson Cell Phone: 508-577-9895 Email: robert.wilson@umb.edu or bobw@cs.umb.edu 1 Welcome to CS341 This course teaches computer architecture

More information

The Evolution of Transport Planning

The Evolution of Transport Planning The Evolution of Transport Planning On Proportionality and Uniqueness in Equilibrium Assignment Michael Florian Calin D. Morosan Background Several bush-based algorithms for computing equilibrium assignments

More information

IT S HOW THE GAME IS ONE! Introducing Sync

IT S HOW THE GAME IS ONE! Introducing Sync Introducing Sync Sync is Brunswick s revolutionary new scoring and management system. It was developed with one goal in mind: to help centers increase sales and profits through addressing their most pressing

More information

HW #5: Digital Logic and Flip Flops

HW #5: Digital Logic and Flip Flops HW #5: Digital Logic and Flip Flops This homework will walk through a specific digital design problem in all its glory that you will then implement in this weeks lab. 1 Write the Truth Table (10 pts) Consider

More information

Post-Placement Functional Decomposition for FPGAs

Post-Placement Functional Decomposition for FPGAs Post-Placement Functional Decomposition for FPGAs Valavan Manohararajah, Deshanand P. Singh, Stephen D. Brown and Zvonko G. Vranesic Altera Toronto Technology Center 151 Bloor Street West, Suite 200 Toronto,

More information

A 28nm SoC with a 1.2GHz 568nJ/ Prediction Sparse Deep-Neural-Network Engine with >0.1 Timing Error Rate Tolerance for IoT Applications

A 28nm SoC with a 1.2GHz 568nJ/ Prediction Sparse Deep-Neural-Network Engine with >0.1 Timing Error Rate Tolerance for IoT Applications A 28nm SoC with a 1.2GHz 568nJ/ Prediction Sparse Deep-Neural-Network Engine with >0.1 Timing Error Rate Tolerance for IoT Applications Paul Whatmough, S. K. Lee, H. Lee, S. Rama, D. Brooks, G.-Y. Wei

More information

82C288 BUS CONTROLLER FOR PROCESSORS (82C C C288-8)

82C288 BUS CONTROLLER FOR PROCESSORS (82C C C288-8) BUS CONTROLLER FOR 80286 PROCESSORS (82C288-12 82C288-10 82C288-8) Y Provides Commands and Controls for Local and System Bus Y Wide Flexibility in System Configurations Y High Speed CHMOS III Technology

More information

Automating Injection Molding Simulation using Autonomous Optimization

Automating Injection Molding Simulation using Autonomous Optimization Automating Injection Molding Simulation using Autonomous Optimization Matt Proske, Rodrigo Gutierrez, & Gabriel Geyne SIGMASOFT Virtual Molding Autonomous optimization is coupled to injection molding simulation

More information

The Future of Field-Programmable Gate Arrays

The Future of Field-Programmable Gate Arrays The Future of Field-Programmable Gate Arrays Peter Alfke Director, Applications Engineering Xilinx, Inc PA Snowmass 9/99 1 The future is exciting Le future est formidable Die Zukunft ist rosig Il futuro

More information

MICROPROCESSOR ARCHITECTURE

MICROPROCESSOR ARCHITECTURE MICROPROCESSOR ARCHITECTURE UOP S.E.COMP (SEM-I) PIPELINED ARCHITECTURE Prof.P.C.Patil Department of Computer Engg Matoshri College of Engg.Nasik pcpatil18@gmail.com. Bus Cycles of 80386 2 Bus Cycles of

More information

6/16/2010 DAG Execu>on Model, Work and Depth 1 DAG EXECUTION MODEL, WORK AND DEPTH

6/16/2010 DAG Execu>on Model, Work and Depth 1 DAG EXECUTION MODEL, WORK AND DEPTH 6/16/2010 DAG Execu>on Model, Work and Depth 1 DAG EXECUTION MODEL, WORK AND DEPTH 6/16/2010 DAG Execu>on Model, Work and Depth 2 Computa>onal Complexity of (Sequen>al) Algorithms Model: Each step takes

More information

An Architecture for Combined Test Data Compression and Abort-on-Fail Test

An Architecture for Combined Test Data Compression and Abort-on-Fail Test An Architecture for Combined Test Data Compression and Abort-on-Fail Test Erik Larsson and Jon Persson Embedded Systems Laboratory Department of Computer and Information Science Linköpings Universitet,

More information

An Efficient Code Compression Technique using Application-Aware Bitmask and Dictionary Selection Methods

An Efficient Code Compression Technique using Application-Aware Bitmask and Dictionary Selection Methods An Efficient Code Compression Technique using Application-Aware Bitmask and Selection Methods Seok-Won Seong sseong@cise.ufl.edu Prabhat Mishra prabhat@cise.ufl.edu Department of Computer and Information

More information

A Hybrid Code Compression Technique using Bitmask and Prefix Encoding with Enhanced Dictionary Selection

A Hybrid Code Compression Technique using Bitmask and Prefix Encoding with Enhanced Dictionary Selection A Hybrid Code Compression Technique using Bitmask and Prefix Encoding with Enhanced Dictionary Selection Syed Imtiaz Haider and Leyla Nazhandali Virginia Polytechnic Institute and State University 302

More information

B.R.O. Basketball Return Optimizer. Electrical & Computer Engineering

B.R.O. Basketball Return Optimizer. Electrical & Computer Engineering B.R.O. Basketball Return Optimizer Team Members Derek Foster (EE) Brian Acker (CSE) Devon O Rourke (CSE) Adam Paranay (EE) 2 Problem Practicing basketball alone is inefficient without someone to return

More information

Evaluation of a High Performance Code Compression Method

Evaluation of a High Performance Code Compression Method Evaluation of a High Performance Code Compression Method Charles Lefurgy, Eva Piccininni, and Trevor Mudge EECS Department, University of Michigan 1301 Beal Ave., Ann Arbor, MI 48109-2122 {lefurgy,epiccini,tnm}@eecs.umich.edu

More information

WiiMaze Design Document March 13, 2008

WiiMaze Design Document March 13, 2008 WiiMaze Design Document March 13, 2008 Yezhen Lu (yl2194) Brian Ramos (bjr2102) Shaun Salzberg (sds2110) Introduction We are going to implement a simple maze game using the Altera DE2 Board, a Wiimote,

More information

Efficient Placement of Compressed Code for Parallel Decompression

Efficient Placement of Compressed Code for Parallel Decompression Efficient Placement of Compressed Code for Parallel Decompression Xiaoke Qin and Prabhat Mishra Department of Computer and Information Science and Engineering University of Florida, Gainesville FL 32611-6120,

More information

Software Design of the Stiquito Micro Robot

Software Design of the Stiquito Micro Robot Software Design of the Stiquito Micro Robot Andrew McClain and James M. Conrad University of North Carolina at Charlotte jmconrad@uncc.edu Abstract The Stiquito robot is a small, six legged robot that

More information

LISA - Test Pacing. Capgemini India Private Limited. July Prepared by: Srikumar Bose

LISA - Test Pacing. Capgemini India Private Limited. July Prepared by: Srikumar Bose Capgemini India Private Limited July 2013 Prepared by: Srikumar Bose Email: Srikumar.bose@capgemini.com 1 Table of Contents 1. Test Pacing An Introduction...2 2. Overview...3 3. Stair Step Pattern (Load

More information

The Mathematics of Gambling

The Mathematics of Gambling The Mathematics of Gambling with Related Applications Madhu Advani Stanford University April 12, 2014 Madhu Advani (Stanford University) Mathematics of Gambling April 12, 2014 1 / 23 Gambling Gambling:

More information

Computational Challenges in Cold QCD. Bálint Joó, Jefferson Lab Computational Nuclear Physics Workshop SURA Washington, DC July 23-24, 2012

Computational Challenges in Cold QCD. Bálint Joó, Jefferson Lab Computational Nuclear Physics Workshop SURA Washington, DC July 23-24, 2012 Computational Challenges in Cold QCD Bálint Joó, Jefferson Lab Computational Nuclear Physics Workshop SURA Washington, DC July 23-24, 2012 Cycles from Titan, Mira 10000 2 x 20 PF & Moore's law Cumulative

More information

Stack Height Analysis for FinFET Logic and Circuit

Stack Height Analysis for FinFET Logic and Circuit 2015 Spring ECE 7332 Stack Height Analysis for FinFET Logic and Circuit Xinfei Guo & Qing Qin May 8 th, 2015 Outline Background & Motivation FinFET Design and Simulation Flow Stack Height Analysis A Case

More information

Titelbild. Höhe: 13cm Breite: 21 cm

Titelbild. Höhe: 13cm Breite: 21 cm Titelbild Höhe: 13cm Breite: 21 cm Golf swing analysis ueye USB cameras improve golf handicap Hole in One! A successful golfer needs to possess intelligence, farsightedness, and precision. And achieving

More information

Rescue Rover. Robotics Unit Lesson 1. Overview

Rescue Rover. Robotics Unit Lesson 1. Overview Robotics Unit Lesson 1 Overview In this challenge students will be presented with a real world rescue scenario. The students will need to design and build a prototype of an autonomous vehicle to drive

More information

International Journal of Engineering Trends and Technology (IJETT) Volume 18 Number2- Dec 2014

International Journal of Engineering Trends and Technology (IJETT) Volume 18 Number2- Dec 2014 Compression and Decompression of FPGA Bit Stream Using Bitmask Technique K.Khuresh Gouse 1 N.Chitra 2 K.Maheshwari 3 1 PG Student (M.Tech), 2 Associate Professor, 3 Associate Professor, Dept. of ECE, Gates

More information

CT PET-2018 Part - B Phd COMPUTER APPLICATION Sample Question Paper

CT PET-2018 Part - B Phd COMPUTER APPLICATION Sample Question Paper CT PET-2018 Part - B Phd COMPUTER APPLICATION Sample Question Paper Note: All Questions are compulsory. Each question carry one mark. 1. Error detection at the data link layer is achieved by? [A] Bit stuffing

More information

Which compressed air system design is right for you?

Which compressed air system design is right for you? WEBINAR BRIEF / PRESENTED BY Which compressed air system design is right for you? -1- When good compressed air equipment goes bad by Ron Marshall Over the years, many wellmeaning companies have taken the

More information

COMPRESSION OF FPGA BIT STREAMS USING EFFECTIVE RUN LENGTH ENCODING TECHIQUES AND ITS PERFORMANCE ESTIMATION

COMPRESSION OF FPGA BIT STREAMS USING EFFECTIVE RUN LENGTH ENCODING TECHIQUES AND ITS PERFORMANCE ESTIMATION COMPRESSION OF FPGA BIT STREAMS USING EFFECTIVE RUN LENGTH ENCODING TECHIQUES AND ITS PERFORMANCE ESTIMATION S.Karthick 1, S.Dhivyapriya 2, T.V.P.Sundararajan 3 1 Assistant Professor,Bannari Amman Institute

More information

RICK FAUSEL, BUSINESS DEVELOPMENT ENGINEER TURBOMACHINERY CONTROL SYSTEM DESIGN OBJECTIVES

RICK FAUSEL, BUSINESS DEVELOPMENT ENGINEER TURBOMACHINERY CONTROL SYSTEM DESIGN OBJECTIVES RICK FAUL, BUSINESS DEVELOPMENT ENGINEER TURBOMACHINERY CONTROL SYSTEM DESIGN OBJECTIVES The primary design objective for any turbomachinery control system should be to maintain or maximize machine and

More information

Design of AMBA APB Protocol

Design of AMBA APB Protocol Design of AMBA APB Protocol G. Venkat Rao 1, Pasam Abhigna 2, J. Naveen 3, S. Vineeth Reddy 4 1 Assistant Professor Department of ECE Geethanjali College of engineering and technology 2, 3, 4 Bachelor

More information

Science&Motion. SAM BalanceLab. Sports. control the invisible. Most advanced pressure plate for coaching

Science&Motion. SAM BalanceLab. Sports. control the invisible. Most advanced pressure plate for coaching SAM BalanceLab Science&Motion Sports control the invisible Most advanced pressure plate for coaching Balance - the invisible key to success Stop the guesswork and start to rely on facts Science and Motion

More information

Ocean Energy. Haley, Shane, Alston

Ocean Energy. Haley, Shane, Alston Ocean Energy Haley, Shane, Alston What is Ocean Energy? The world s oceans cover nearly 70% of the world's surface The oceans are the world's largest collector of the sun s energy that is continually

More information

Autodesk Moldflow Communicator Process settings

Autodesk Moldflow Communicator Process settings Autodesk Moldflow Communicator 212 Process settings Revision 1, 3 March 211. Contents Chapter 1 Process settings....................................... 1 Profiles.................................................

More information

Adiabatic Switching. A Survey of Reversible Computation Circuits. Benjamin Bobich, 2004

Adiabatic Switching. A Survey of Reversible Computation Circuits. Benjamin Bobich, 2004 Adiabatic Switching A Survey of Reversible Computation Circuits Benjamin Bobich, 2004 Agenda for Today 1. The Basics of Adiabatic Logic and the Fundamentals of Adiabatic Charging 2. Early Adiabatic Circuit

More information

Implementation of Modern Traffic Light Control System

Implementation of Modern Traffic Light Control System International Journal of Scientific and Research Publications, Volume 4, Issue 6, June 2014 1 Implementation of Modern Traffic Light System Nang Hom Kham *, Chaw Myat Nwe ** * Department of Electronic

More information

Analysis of Traditional Yaw Measurements

Analysis of Traditional Yaw Measurements Analysis of Traditional Yaw Measurements Curiosity is the very basis of education and if you tell me that curiosity killed the cat, I say only the cat died nobly. Arnold Edinborough Limitations of Post-

More information

SUPPLEMENT MATERIALS

SUPPLEMENT MATERIALS SUPPLEMENT MATERIALS This document provides the implementation details of LW-FQZip 2 and the detailed experimental results of the comparison studies. 1. Implementation details of LW-FQZip 2 LW-FQZip 2

More information

Out-of-Core Cholesky Factorization Algorithm on GPU and the Intel MIC Co-processors

Out-of-Core Cholesky Factorization Algorithm on GPU and the Intel MIC Co-processors Out-of-Core Cholesky Factorization Algorithm on GPU and the Intel MIC Co-processors Ben Chan (Chinese University of Hong Kong) Nina Qian (Chinese University of Hong Kong) Mentors: Ed D Azevedo (ORNL) Shiquan

More information

A new take at Adaptive Fast Multipole Methods: application, implementation, and hybrid CPU/GPU parallelism

A new take at Adaptive Fast Multipole Methods: application, implementation, and hybrid CPU/GPU parallelism A new take at Adaptive Fast Multipole Methods: application, implementation, and hybrid CPU/GPU parallelism Stefan Engblom UPMARC @ TDB/IT, Uppsala University KCSE seminar, Stockholm, November 6, 2013 S.

More information

VLSI Design I; A. Milenkovic 1

VLSI Design I; A. Milenkovic 1 Review: The Regenerative Property V i V o V i V o CPE/EE 47, CPE 57 VLSI esign I : Sequential Circuits epartment of Electrical and Computer Engineering University of labama in Huntsville leksandar Milenkovic

More information

Matrix-based software test data decompression for systems-on-a-chip

Matrix-based software test data decompression for systems-on-a-chip Journal of Systems Architecture 50 (2004) 247 256 www.elsevier.com/locate/sysarc Matrix-based software test data decompression for systems-on-a-chip Kedarnath Balakrishnan, Nur A. Touba * Computer Engineering

More information

The Implementation and Evaluation of Dynamic Code Decompression Using DISE

The Implementation and Evaluation of Dynamic Code Decompression Using DISE The Implementation and Evaluation of Dynamic Code Decompression Using DISE MARC L. CORLISS, E. CHRISTOPHER LEWIS, and AMIR ROTH University of Pennsylvania Code compression coupled with dynamic decompression

More information

CPE/EE 427, CPE 527 VLSI Design I L21: Sequential Circuits. Review: The Regenerative Property

CPE/EE 427, CPE 527 VLSI Design I L21: Sequential Circuits. Review: The Regenerative Property CPE/EE 427, CPE 527 VLSI esign I L21: Sequential Circuits epartment of Electrical and Computer Engineering University of Alabama in Huntsville Aleksandar Milenkovic ( www.ece.uah.edu/~milenka ) www.ece.uah.edu/~milenka/cpe527-5f

More information

A New Reference Frame Recompression Algorithm and Its VLSI Architecture for UHDTV Video Codec

A New Reference Frame Recompression Algorithm and Its VLSI Architecture for UHDTV Video Codec IEEE TRANSACTIONS ON MULTIMEDIA, VOL. 16, NO. 8, DECEMBER 2014 2323 A New Reference Frame Recompression Algorithm and Its VLSI Architecture for UHDTV Video Codec Li Guo, Dajiang Zhou, Member, IEEE, and

More information

LOCOMOTION CONTROL CYCLES ADAPTED FOR DISABILITIES IN HEXAPOD ROBOTS

LOCOMOTION CONTROL CYCLES ADAPTED FOR DISABILITIES IN HEXAPOD ROBOTS LOCOMOTION CONTROL CYCLES ADAPTED FOR DISABILITIES IN HEXAPOD ROBOTS GARY B. PARKER and INGO CYLIAX Department of Computer Science, Indiana University, Bloomington, IN 47405 gaparker@cs.indiana.edu, cyliax@cs.indiana.edu

More information

Development and Evolution of an Asset Management Organization

Development and Evolution of an Asset Management Organization Development and Evolution of an Asset Management Organization Art Kruppenbacher Manager Asset Management October 21, 2015 About the Iberdrola Group Headquartered in Spain 109-year history 28,000 employees

More information

University of Cincinnati

University of Cincinnati Mapping the Design Space of a Recuperated, Recompression, Precompression Supercritical Carbon Dioxide Power Cycle with Intercooling, Improved Regeneration, and Reheat Andrew Schroder Mark Turner University

More information

sorting solutions osx separator series

sorting solutions osx separator series osx separator series Comex offers a newly developed complex optical sorting system, in a user friendly platform, for the identification and separation of particles and materials, based on their many physical

More information

Artificial Intelligence for the EChO Mission Scheduler

Artificial Intelligence for the EChO Mission Scheduler Artificial Intelligence for the EChO Mission Scheduler Álvaro García Piquer Ignasi Ribas Josep Colomé Institute of Space Sciences (CSIC/IEEC), Barcelona, Spain SCIOPS 2013 September 10 13, 2013 Introduction

More information

Automatic Identification and Analysis of Basketball Plays: NBA On-Ball Screens

Automatic Identification and Analysis of Basketball Plays: NBA On-Ball Screens Automatic Identification and Analysis of Basketball Plays: NBA On-Ball Screens Thesis Proposal Master of Computer Information Science Graduate College of Electrical Engineering and Computer Science Cleveland

More information

Param Express. Key Activities Concluded. Watch Out For

Param Express. Key Activities Concluded. Watch Out For Param Express Key Activities Concluded One Workshop conducted form 20 th to 23 rd Feb 2018 at Mumbai, to incorporate the recommendation of reliability expert. Core maintenance work started for next set

More information

Extending Flash Memory Through Adaptive Parameter Tuning. Conor Ryan CTO Software

Extending Flash Memory Through Adaptive Parameter Tuning. Conor Ryan CTO Software Extending Flash Memory Through Adaptive Parameter Tuning Conor Ryan CTO Software Conor.Ryan@NVMdurance.com 2 Take Home Messages 25x increase in endurance on 1X nm devices Machine learning software fully

More information

Smart Data Role computers play in Technology

Smart Data Role computers play in Technology Smart Data Role computers play in Technology October 30 th 2015 Sizzle Video 2016 2 Introduction: Will Phillips INDYCAR Vice President of Technology Daniel Louks INDYCAR Support Engineer Smart Data Role

More information

FLUID POWER FLUID POWER EQUIPMENT TUTORIAL OTHER FLUID POWER VALVES. This work covers part of outcome 2 of the Edexcel standard module:

FLUID POWER FLUID POWER EQUIPMENT TUTORIAL OTHER FLUID POWER VALVES. This work covers part of outcome 2 of the Edexcel standard module: FLUID POWER FLUID POWER EQUIPMENT TUTORIAL OTHER FLUID POWER VALVES This work covers part of outcome 2 of the Edexcel standard module: UNIT 21746P APPLIED PNEUMATICS AND HYDRAULICS The material needed

More information

Provably Secure Camouflaging Strategy for IC Protection

Provably Secure Camouflaging Strategy for IC Protection Provably Secure Camouflaging Strategy for IC Protection Meng Li 1 Kaveh Shamsi 2 Travis Meade 2 Zheng Zhao 1 Bei Yu 3 Yier Jin 2 David Z. Pan 1 1 Electrical and Computer Engineering, University of Texas

More information

HWBOINTS REVISION 7. Revision 7 is designed in response to community feedback with the intent of awarding points

HWBOINTS REVISION 7. Revision 7 is designed in response to community feedback with the intent of awarding points HWBOINTS REVISION 7 Revision 7 is designed in response to community feedback with the intent of awarding points more accurately as a reflection of overclocking result quality. The new revision re-balances

More information

Image compression: ER Mapper 6.0 ECW v2.0 versus MrSID 1.3

Image compression: ER Mapper 6.0 ECW v2.0 versus MrSID 1.3 Image compression: ER Mapper 6.0 ECW v2.0 versus MrSID 1.3 With massive file sizes for digital imagery now commonplace, compression is a natural choice to shrink 100 s of GB of imagery down to a manageable

More information

Physical Design of CMOS Integrated Circuits

Physical Design of CMOS Integrated Circuits Physical Design of CMOS Integrated Circuits Dae Hyun Kim EECS Washington State University References John P. Uyemura, Introduction to VLSI Circuits and Systems, 2002. Chapter 5 Goal Understand how to physically

More information

ORF 201 Computer Methods in Problem Solving. Final Project: Dynamic Programming Optimal Sailing Strategies

ORF 201 Computer Methods in Problem Solving. Final Project: Dynamic Programming Optimal Sailing Strategies Princeton University Department of Operations Research and Financial Engineering ORF 201 Computer Methods in Problem Solving Final Project: Dynamic Programming Optimal Sailing Strategies Due 11:59 pm,

More information

SoundCast Design Intro

SoundCast Design Intro SoundCast Design Intro Basic Design SoundCast and Daysim 3 Land use attributes Households & Individuals SoundCast DaySim Travel demand simulator Trips and Households, Excel Summary Sheets, EMME network

More information

Flight Software Overview

Flight Software Overview Solar Probe Plus A NASA Mission to Touch the Sun Flight Software Overview Christopher Krupiarz Christopher.Krupiarz@jhuapl.edu Overarching Science Objective To determine the structure and dynamics of the

More information

Compression of FPGA Bitstreams Using Improved RLE Algorithm

Compression of FPGA Bitstreams Using Improved RLE Algorithm International Journal of Scientific & Engineering Research, Volume 4, Issue 6, June-2013 1162 Compression of FPGA Bitstreams Using Improved RLE Algorithm P.Hemnath V.Prabhu Abstract - FPGA are configured

More information

Decompression Method For Massive Compressed Files In Mobile Rich Media Applications

Decompression Method For Massive Compressed Files In Mobile Rich Media Applications 2010 10th IEEE International Conference on Computer and Information Technology (CIT 2010) Decompression Method For Massive Compressed Files In Mobile Rich Media Applications Houchen Li, Zhijie Qiu, Lei

More information

Irrigation System Renovation. Your golf course. Our commitment.

Irrigation System Renovation. Your golf course. Our commitment. Irrigation System Renovation Your golf course. Our commitment. Improve playability and aesthetics while optimizing profits. Realize the full potential of your golf course. 10.0 8.0 6.0 4.0 2.0 0.0 Golf

More information

Space Launchers. Cryogenic, gas-related equipment and engineering solutions for launchers and launch pads infrastructures

Space Launchers. Cryogenic, gas-related equipment and engineering solutions for launchers and launch pads infrastructures Space Launchers Cryogenic, gas-related equipment and engineering solutions for launchers and launch pads infrastructures Launcher equipment Cryogenic propulsion enables a significant increase of space

More information

IA-64: Advanced Loads Speculative Loads Software Pipelining

IA-64: Advanced Loads Speculative Loads Software Pipelining Presentation stolen from the web (with changes) from the Univ of Aberta and Espen Skoglund and Thomas Richards (47 alum) and Our textbook s authors : Advanced Loads Speculative Loads Software Pipelining

More information

Reliable Real-Time Recognition of Motion Related Human Activities using MEMS Inertial Sensors

Reliable Real-Time Recognition of Motion Related Human Activities using MEMS Inertial Sensors Reliable Real-Time Recognition of Motion Related Human Activities using MEMS Inertial Sensors K. Frank,, y; M.J. Vera-Nadales, University of Malaga, Spain; P. Robertson, M. Angermann, v36 Motivation 2

More information

Energy Savings Through Compression in Embedded Java Environments

Energy Savings Through Compression in Embedded Java Environments Energy Savings Through Compression in Embedded Java Environments G. Chen, M. Kandemir, N. Vijaykrishnan, M. J. Irwin Microsystems Design Lab Pennsylvania State University University Park, PA 16802, USA

More information

How Game Engines Can Inspire EDA Tools Development: A use case for an open-source physical design library

How Game Engines Can Inspire EDA Tools Development: A use case for an open-source physical design library How Game Engines Can Inspire EDA Tools Development: A use case for an open-source physical design library Tiago Fontana, Renan Netto, Vinicius Livramento, Chrystian Guth, Sheiny Almeida, Laércio Pilla,

More information

Select ADO-DG Case Studies in Aerodynamic Design Optimization

Select ADO-DG Case Studies in Aerodynamic Design Optimization Select ADO-DG Case Studies in Aerodynamic Design Optimization Antony Jameson T. V. Jones Professor of Engineering Dept. Aeronautics & Astronautics Stanford University Stanford, CA 94305-3030, USA John

More information

EVOLVING HEXAPOD GAITS USING A CYCLIC GENETIC ALGORITHM

EVOLVING HEXAPOD GAITS USING A CYCLIC GENETIC ALGORITHM Evolving Hexapod Gaits Using a Cyclic Genetic Algorithm Page 1 of 7 EVOLVING HEXAPOD GAITS USING A CYCLIC GENETIC ALGORITHM GARY B. PARKER, DAVID W. BRAUN, AND INGO CYLIAX Department of Computer Science

More information

securing networks with silicon

securing networks with silicon NITROX II A Family of In-line Security Processors Presented by: Muhammad Raghib Hussain Contributed by: Bob Sanzone, Dan Katz, David Asher, David Carlson, Gregg Bouchard, Michael Bertone, Muhammad Hussain,

More information

Electromagnetic Attacks on Ring Oscillator-Based True Random Number Generator

Electromagnetic Attacks on Ring Oscillator-Based True Random Number Generator Introduction Attacks on TRNG Our active attack EM analysis Electromagnetic Attacks on Ring Oscillator-Based True Random Number Generator Pierre Bayon, Lilian Bossuet, Alain Aubert, Viktor Fischer François

More information

CS472 Foundations of Artificial Intelligence. Final Exam December 19, :30pm

CS472 Foundations of Artificial Intelligence. Final Exam December 19, :30pm CS472 Foundations of Artificial Intelligence Final Exam December 19, 2003 12-2:30pm Name: (Q exam takers should write their Number instead!!!) Instructions: You have 2.5 hours to complete this exam. The

More information

Designing Mechanisms for Reliable Internet-based Computing

Designing Mechanisms for Reliable Internet-based Computing Designing Mechanisms for Reliable Internet-based Computing Chryssis Georgiou University of Cyprus, Cyprus Antonio Fernandez Miguel Mosteiro Universidad Rey Juan Carlos, Spain Trustworthy Network Computing

More information

AddressBus 32. D-cache. Main Memory. D- Engine CPU. DataBus 1. DataBus 2. I-cache

AddressBus 32. D-cache. Main Memory. D- Engine CPU. DataBus 1. DataBus 2. I-cache Code Compression as a Variable in Hardware/Software Co-Design Haris Lekatsas Jorg Henkel Wayne Wolf Princeton University NEC USA Princeton University Abstract We present a new way to practice and view

More information

Efficient I/O for Computational Grid Applications

Efficient I/O for Computational Grid Applications Efficient I/O for Computational Grid Applications Ron Oldfield hd. Thesis Defense Department of Computer cience, Dartmouth College May 5, 2003 Committee: David Kotz (chair), Thomas Cormen, Robert Gray,

More information

Evaluating and Classifying NBA Free Agents

Evaluating and Classifying NBA Free Agents Evaluating and Classifying NBA Free Agents Shanwei Yan In this project, I applied machine learning techniques to perform multiclass classification on free agents by using game statistics, which is useful

More information

ATM 322 Basic Pneumatics H.W.6 Modules 5 7

ATM 322 Basic Pneumatics H.W.6 Modules 5 7 ATM 322 Basic Pneumatics H.W.6 Modules 5 7 Name: Answer Key Mark: Question I: Write (T) for True and (F) for false sentences. A) For the time dependant process control; Step enabling conditions are generated

More information

Design and Simulation of a Pipelined Decompression Architecture for Embedded Systems

Design and Simulation of a Pipelined Decompression Architecture for Embedded Systems Design and Simulation of a Pipelined Decompression Architecture for Embedded Systems Haris Lekatsas Jörg Henkel Wayne Wolf NEC USA NEC USA Princeton University Abstract In the past, systems utilizing code

More information

Discovery TGA 55, TGA 550, TGA Site Preparation Guide

Discovery TGA 55, TGA 550, TGA Site Preparation Guide Discovery TGA 55, TGA 550, TGA 5500 Site Preparation Guide Revision E Issued March 2018 Table of Contents Table of Contents... 2 Ideal Setup... 3 System Components... 4 With Discovery Mass Spectrometer...

More information