Physical Design of CMOS Integrated Circuits

Size: px
Start display at page:

Download "Physical Design of CMOS Integrated Circuits"

Transcription

1 Physical Design of CMOS Integrated Circuits Dae Hyun Kim EECS Washington State University

2 References John P. Uyemura, Introduction to VLSI Circuits and Systems, Chapter 5

3 Goal Understand how to physically design (manually draw) CMOS integrated circuits (ICs)

4 Custom Design Flow Design specification An inverter (spec: width, height,...) Schematic design (Transistor-level netlist) xx ff = xx Layout (physical) design DRC (Design Rule Check) LVS (Layout vs. Schematic) RC extraction SPICE-level netlist Characterization Timing/power info. Library

5 Schematic Editor Cadence Virtuoso Source: d schematic-jpg?s=a9af c1b713b17c65f4be

6 Layout Editor Cadence Virtuoso Source: tools/custom-ic-analog-rf-design/virtuoso-zambebi-xl-600px.jpg/_jcr_content/renditions/original.img.png

7 Layout Design Draw polygons (rectilinear objects) in each layer Rectangles Paths Layers (Real) n-well p-well Active (n+ = ndiff) Active (p+ = pdiff) Poly Contact Metal (m1, m2, m3,...) Via (v12, v23, v34,...) Layers (Virtual) Cell boundary Labels Pins + + Metal 1 VDD, VSS, A, Z

8 Inverter Substrate contact M3 M2 M1 p+ n+ n+ p+ p+ n+ n-well p-epi substrate Substrate contact

9 Design Rules Poly

10 Design Rules Implant

11 Design Rules Active

12 Design Rules Contact

13 Design Rules Metal 1

14 Design Rules Via12

15 Layouts INV_X1, INV_X2, INV_X4, INV_X8

16 Layouts INV_X8, INV_X16, INV_X32

17 Layouts NAND2_X1, NOR2_X1

18 Layouts BUF_X1, BUF_X2, BUF_X4

19 Layouts AND2_X1, AND3_X1, AND4_X1

20 Layouts XOR2_X1, XNOR2_X1

21 Layouts MUX2_X1

22 Layouts FA_X1 (Full adder)

23 Layouts DFF_X1 (D F/F)

24 Transistor Folding (A Layout Technique) INV_X16

25 Layout Generation Draw a layout. Output: GDSII format Design rule check (DRC) Prepare a schematic (netlist). A text file Layout vs. Schematic (LVS) Layout netlist 1 Schematic netlist 2 LVS checks whether netlist 1 is equal to netlist 2. Parasitic RC extraction Output: A SPICE netlist with parasitic RC Timing/power simulation and characterization

26 Channel Length and Width LL eeeeee = LL LL LL eeeeee : effective channel length LL: drawn channel length WW eeeeee = WW WW Poly LL LL eeeeee G WW (Drawn) n+ n+ LL eeeeee p LL (Drawn)

27 Terminologies Twin-tub technology Two separate wells are created. Latch-up n-well for pfets p-well for nfets Source:

28 Digital VLSI Design Placement Places transistors in a layout. Routing Power/Ground Clock Connect all the VV DDDD lines to VV DDDD. Connect all the VV SSSS lines to VV SSSS. Reduce IR drop. Connect all the clock sinks to a main clock source pin. Achieve zero skew. Signal

29 Standard Cell-Based Digital VLSI Design Power/Ground routing Die area (Layout) I/O cells Metal 1 Metal 2 Core area Power (Outer ring) Ground (Inner ring) Gound Power

30 Standard Cell-Based Digital VLSI Design Via1

31 Standard Cell-Based Digital VLSI Design Standard cells have a fixed height. have different widths. have ports (input/output pins) generally in the Metal 1 layer. have some obstacles in the Metal 1 layer (for internal routing). Routing uses only metal and via layers (doesn t use any other layers). routes the standard cell ports and primary I/O ports based on a given netlist.

32 Standard Cell-Based Digital VLSI Design BUF_X1 VDD port Cell boundary Obstruction (M1) A (input, M1) Z (output, M1) VSS port Layout

33 Automatic Placement

34 Automatic Routing

35 FET Sizing Theory II μμ cc oooo 11 WW LL (VV GG VV TT ) VV DDDD RR = LL ββ (VV GG VV TT ) WW Motivation 1 pfets and nfets have different mobility values. μμ nn > μμ pp Thus, if an nfet and a pfet networks have the same transistor sizes, their delay values are different. Motivation 2 Minimum-size FETs might not provide enough drive strength. Goal Achieve perfectly-balanced delay values (from Motivation 1). Satisfy delay constraints (from Motivation 2). Mobility ratio μμ nn = rr μμ pp (rr > 11) RR pp = rr RR nn

36 FET Sizing Theory II μμ cc oooo 11 WW LL (VV GG VV TT ) VV DDDD RR = LL ββ (VV GG VV TT ) WW The drive strength (current) is proportional to WW inversely proportional to LL The input capacitance is proportional to LL and WW. If LL increases The input capacitance goes up. The drive strength goes down (or the output resistance goes up). The cell area goes up. Thus, do not increase LL (i.e., use the minimum channel length). If WW increases The input capacitance goes up. The drive strength goes up (or the output resistance goes down). The cell area goes up. If the input capacitance overhead is small, upsizing FETs reduces the delay of the downstream net.

37 FET Sizing Theory The FET width cannot be reduced infinitely (design rules). Suppose the minimum transistor length and width are LL 0 and WW 0, respectively. Then, Minimum-size nfet = WW 0 LL 0 Resistance: RR nn Minimum-size pfet = WW 0 LL 0 Resistance: RR pp Transistor upsizing If the size of an nfet is kk WW 0 LL 0 Resistance: RR nn kk If the size of a pfet is kk WW 0 LL 0 Resistance: RR pp kk nn : This is a 1X nfet. pp : This is a 1X pfet. nn, it is a kkx nfet. pp, it is a kkx pfet.

38 FET Sizing (Matching) Example: Inverter μμ nn = 22 μμ pp (i.e., RR pp = 2RR nn ) VV iiii 1X VV iiii = 1 VV iiii = 0 RR = RR pp = 2RR nn 1X CC RR = RR nn CC CC Time constant ττ = RR nn CC ττ = 2RR nn CC VV iiii 2X VV iiii = 1 VV iiii = 0 RR = RR pp 2 = RR nn 1X CC RR = RR nn CC CC Minimum-size inverter ττ = RR nn CC ττ = RR nn CC

39 FET Sizing (Delay Reduction) Example: Inverter μμ nn = 22 μμ pp (i.e., RR pp = 2RR nn ) VV iiii 2X 1X VV iiii = 1 VV iiii = 0 RR = RR pp 2 = RR nn CC RR = RR nn CC CC 1X inverter ττ = RR nn CC ττ = RR nn CC VV iiii 4X 2X 2X inverter VV iiii = 1 VV iiii = 0 RR = RR pp 4 = RR nn 2 CC RR = RR nn CC CC 2 ττ = RR nn 2 CC ττ = RR nn 2 CC

40 FET Sizing NAND2_X1, NOR2_X1 aa 2X bb 2X aa 4X aa 2X bb 4X bb 2X aa 1X bb 1X FETs are sized for the worst-case signal path.

41 FET Sizing ff = aa + bb cc (1X) bb 4X cc 4X aa 4X aa 1X bb cc 2X 2X

42 FET Sizing Analytical Approach NAND2_X1 pfets: Each should be 2X. nfets If aa is upsized to xx 1 X and bb is upsized to xx 2 X (xx 1, xx 2 > 1) Resistance of aa: RR nn xx 1 Resistance of bb: RR nn xx 2 The total resistance should be RR nn. aa 2X bb 2X RR nn xx 1 + RR nn xx 2 = RR nn 1 xx xx 2 = 1 aa xx 1 X For instance, xx 1, xx 2 = 2,2, 3, 3 2, 4, 4 3, We want to minimize the total area. bb xx 2 X Min. xx 1 + xx 2

43 FET Sizing Analytical Approach Problem Minimize ff xx 1, xx 2 = xx 1 + xx 2 under the following constraints. Solve xx 1, xx 2 > = 1 xx 1 xx = 1 xx xx 1 xx 2 = xx 1 2 xx 1 1 ff xx 1, xx 2 = xx 1 + xx 2 = xx 1 + xx 1 = ff xx xx = xx 2 1 xx 1 1 ff xx 1 = 2xx 1 xx 1 1 xx 2 1 = xx 1 2 2xx 1 (xx 1 1) 2 (xx 1 1) 2 Thus, ff is minimized when xx 1 = 2. In this case, xx 2 is also 2.

44 FET Sizing Analytical Approach NAND_Xnn (nn-input NAND gate) pfets: Each should be 2X. nfets If aa ii is upsized to xx ii X (xx ii > 1) Resistance of aa ii : RR nn xx ii The total resistance should be RR nn. nn RR nn ii=1 = RR nn = 1 xx 1 xx 2 xx nn xx ii We want to minimize the total area. nn Min. xx ii = xx 1 + xx xx nn ii=1 aa 1 2X aa 2 aa 1 aa 2 2X xx 1 X xx 2 X aa nn 2X aa nn xx nn X

45 FET Sizing Analytical Approach Problem Minimize ff xx 1, xx 2,, xx nn = xx 1 + xx xx nn under the following constraints. Solve xx ii > = 1 xx 1 xx 2 xx nn Let 1 xx ii = yy ii. Then, the problem becomes as follows: Minimize ff yy 1,, yy nn = 1 yy yy nn yy ii < 1 yy 1 + yy nn = 1 yy nn = 1 (yy yy nn 1 ) ff yy 1,, yy nn = = ff yy yy 1 yy 1,, yy nn 1 = nn yy 1 yy nn 1 Solve ff = 0,, = 0. yy 1 yy nn 1 = yy ii yy 2 2 = 0 ii 1 yy 1 + +yy nn (yy 1 + +yy nn 1 ) yy ii = 1 (yy yy nn 1 ) yy ii = yy nn Thus, ff is minimized when yy 1 = yy 2 = = yy nn, i.e., xx 1 = xx 2 = = xx nn. As a result, xx 1 = xx 2 = = xx nn = nn.

Layout Design II. Lecture Fall 2003

Layout Design II. Lecture Fall 2003 Layout Design II Lecture 6 18-322 Fall 2003 Roadmap Today: Layout Verification & design in the large Next week: Transistor sizing Wires Homework 1: Due Today Homework 2: Out Today, Due Sept 18 Lab 2: This

More information

EE582 Physical Design Automation of VLSI Circuits and Systems

EE582 Physical Design Automation of VLSI Circuits and Systems EE Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University Routing Grid Routing Grid Routing Grid Routing Grid Routing Grid Routing Lee s algorithm (Maze routing)

More information

Stack Height Analysis for FinFET Logic and Circuit

Stack Height Analysis for FinFET Logic and Circuit 2015 Spring ECE 7332 Stack Height Analysis for FinFET Logic and Circuit Xinfei Guo & Qing Qin May 8 th, 2015 Outline Background & Motivation FinFET Design and Simulation Flow Stack Height Analysis A Case

More information

VLSI Design 12. Design Styles

VLSI Design 12. Design Styles 1 9 8 5 1 9 8 7 1 9 9 1 1 9 9 3 1 9 9 9 2 0 0 1 2 0 0 5 2 0 0 7 2 0 0 9 Pr odu ctiv it y (T r an s./ St a f -M o nt h ) VLSI Design Last module: Floorplanning Sequential circuit design Clock skew This

More information

Conservation of Energy. Chapter 7 of Essential University Physics, Richard Wolfson, 3 rd Edition

Conservation of Energy. Chapter 7 of Essential University Physics, Richard Wolfson, 3 rd Edition Conservation of Energy Chapter 7 of Essential University Physics, Richard Wolfson, 3 rd Edition 1 Different Types of Force, regarding the Work they do. gravity friction 2 Conservative Forces BB WW cccccccc

More information

VLSI Design I; A. Milenkovic 1

VLSI Design I; A. Milenkovic 1 Switch Model of NMOS Transistor V GS Gate CPE/EE 47, CPE 57 VLSI Design I L0: IC Manufacturing Source (of carriers) Drain (of carriers) Department of Electrical and Computer Engineering University of Alabama

More information

ECE520 VLSI Design. Lecture 9: Design Rules. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 9: Design Rules. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 9: Design Rules Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Review of Last Lecture Interconnect

More information

Operational Risk Management: Preventive vs. Corrective Control

Operational Risk Management: Preventive vs. Corrective Control Operational Risk Management: Preventive vs. Corrective Control Yuqian Xu (UIUC) July 2018 Joint Work with Lingjiong Zhu and Michael Pinedo 1 Research Questions How to manage operational risk? How does

More information

CPE/EE 427, CPE 527 VLSI Design I L06: Complementary CMOS Logic Gates

CPE/EE 427, CPE 527 VLSI Design I L06: Complementary CMOS Logic Gates PE/EE 427, PE 527 VLSI esign I L6: omplementary MOS Logic Gates epartment of Electrical and omputer Engineering University of labama in Huntsville leksandar Milenkovic ( www.ece.uah.edu/~milenka ) www.ece.uah.edu/~milenka/cpe527-5f

More information

Pre-Kindergarten 2017 Summer Packet. Robert F Woodall Elementary

Pre-Kindergarten 2017 Summer Packet. Robert F Woodall Elementary Pre-Kindergarten 2017 Summer Packet Robert F Woodall Elementary In the fall, on your child s testing day, please bring this packet back for a special reward that will be awarded to your child for completion

More information

EE241 - Spring 2013 Advanced Digital Integrated Circuits. Assigned reading. No new reading. Lecture 4: Transistor Models

EE241 - Spring 2013 Advanced Digital Integrated Circuits. Assigned reading. No new reading. Lecture 4: Transistor Models EE41 - Spring 013 Advanced Digital Integrated ircuits ecture 4: Transistor Models Assigned reading No new reading 1 Outline ast lecture Features of modern technologies This lecture Transistor modeling

More information

Combining Experimental and Non-Experimental Design in Causal Inference

Combining Experimental and Non-Experimental Design in Causal Inference Combining Experimental and Non-Experimental Design in Causal Inference Kari Lock Morgan Department of Statistics Penn State University Rao Prize Conference May 12 th, 2017 A Tribute to Don Design trumps

More information

ECO 745: Theory of International Economics. Jack Rossbach Fall Lecture 6

ECO 745: Theory of International Economics. Jack Rossbach Fall Lecture 6 ECO 745: Theory of International Economics Jack Rossbach Fall 2015 - Lecture 6 Review We ve covered several models of trade, but the empirics have been mixed Difficulties identifying goods with a technological

More information

IBIS Modeling for IO-SSO Analysis. Thunder Lay and Jack W.C. Lin IBIS Asia Summit Taipei, Taiwan Nov. 19, 2013

IBIS Modeling for IO-SSO Analysis. Thunder Lay and Jack W.C. Lin IBIS Asia Summit Taipei, Taiwan Nov. 19, 2013 IBIS Modeling for IO-SSO Analysis Thunder Lay and Jack W.C. Lin IBIS Asia Summit Taipei, Taiwan Nov. 19, 2013 Agenda What is IO-SSO? Missing Components in Traditional IO-SSO Analysis Accurate On-die and

More information

CPE/EE 427, CPE 527 VLSI Design I IC Manufacturing. The MOS Transistor

CPE/EE 427, CPE 527 VLSI Design I IC Manufacturing. The MOS Transistor CPE/EE 427, CPE 527 VLSI Design I IC Manufacturing Department of Electrical and Computer Engineering University of Alabama in Huntsville Aleksandar Milenkovic The MOS Transistor Polysilicon Aluminum 9/11/2006

More information

What is Restrained and Unrestrained Pipes and what is the Strength Criteria

What is Restrained and Unrestrained Pipes and what is the Strength Criteria What is Restrained and Unrestrained Pipes and what is the Strength Criteria Alex Matveev, September 11, 2018 About author: Alex Matveev is one of the authors of pipe stress analysis codes GOST 32388-2013

More information

CPE/EE 427, CPE 527 VLSI Design I L21: Sequential Circuits. Review: The Regenerative Property

CPE/EE 427, CPE 527 VLSI Design I L21: Sequential Circuits. Review: The Regenerative Property CPE/EE 427, CPE 527 VLSI esign I L21: Sequential Circuits epartment of Electrical and Computer Engineering University of Alabama in Huntsville Aleksandar Milenkovic ( www.ece.uah.edu/~milenka ) www.ece.uah.edu/~milenka/cpe527-5f

More information

Designing of Low Power and Efficient 4-Bit Ripple Carry Adder Using GDI Multiplexer

Designing of Low Power and Efficient 4-Bit Ripple Carry Adder Using GDI Multiplexer Designing of Low Power and Efficient 4-Bit Ripple Carry Adder Using GDI Multiplexer 1 Vinay.K.Kolur, 2 Pavankumar Kulkarni Department of EEE, BLDEA s CET Vijayapur Abstract The low power and less delay

More information

VLSI Design I; A. Milenkovic 1

VLSI Design I; A. Milenkovic 1 Review: The Regenerative Property V i V o V i V o CPE/EE 47, CPE 57 VLSI esign I : Sequential Circuits epartment of Electrical and Computer Engineering University of labama in Huntsville leksandar Milenkovic

More information

Decision Trees. Nicholas Ruozzi University of Texas at Dallas. Based on the slides of Vibhav Gogate and David Sontag

Decision Trees. Nicholas Ruozzi University of Texas at Dallas. Based on the slides of Vibhav Gogate and David Sontag Decision Trees Nicholas Ruozzi University of Texas at Dallas Based on the slides of Vibhav Gogate and David Sontag Announcements Course TA: Hao Xiong Office hours: Friday 2pm-4pm in ECSS2.104A1 First homework

More information

EE 434 Lecture 6. Process Technology

EE 434 Lecture 6. Process Technology EE 434 Lecture 6 Process Technology Quiz 4 If an n-channel MOS transistor is modeled with an input capacitance of 2fF and an on resistance of 5KΩ and a p-channel transistor with an input capacitance of

More information

Attacking and defending neural networks. HU Xiaolin ( 胡晓林 ) Department of Computer Science and Technology Tsinghua University, Beijing, China

Attacking and defending neural networks. HU Xiaolin ( 胡晓林 ) Department of Computer Science and Technology Tsinghua University, Beijing, China Attacking and defending neural networks HU Xiaolin ( 胡晓林 ) Department of Computer Science and Technology Tsinghua University, Beijing, China Outline Background Attacking methods Defending methods 2 AI

More information

Lecture 5. Optimisation. Regularisation

Lecture 5. Optimisation. Regularisation Lecture 5. Optimisation. Regularisation COMP90051 Statistical Machine Learning Semester 2, 2017 Lecturer: Andrey Kan Copyright: University of Melbourne Iterative optimisation Loss functions Coordinate

More information

Jasmin Smajic 1, Christian Hafner 2, Jürg Leuthold 2, March 16, 2015 Introduction to Finite Element Method (FEM) Part 1 (2-D FEM)

Jasmin Smajic 1, Christian Hafner 2, Jürg Leuthold 2, March 16, 2015 Introduction to Finite Element Method (FEM) Part 1 (2-D FEM) Jasmin Smajic 1, Christian Hafner 2, Jürg Leuthold 2, March 16, 2015 Introduction to Finite Element Method (FEM) Part 1 (2-D FEM) 1 HSR - University of Applied Sciences of Eastern Switzerland Institute

More information

Provably Secure Camouflaging Strategy for IC Protection

Provably Secure Camouflaging Strategy for IC Protection Provably Secure Camouflaging Strategy for IC Protection Meng Li 1 Kaveh Shamsi 2 Travis Meade 2 Zheng Zhao 1 Bei Yu 3 Yier Jin 2 David Z. Pan 1 1 Electrical and Computer Engineering, University of Texas

More information

Imperfectly Shared Randomness in Communication

Imperfectly Shared Randomness in Communication Imperfectly Shared Randomness in Communication Madhu Sudan Harvard Joint work with Clément Canonne (Columbia), Venkatesan Guruswami (CMU) and Raghu Meka (UCLA). 11/16/2016 UofT: ISR in Communication 1

More information

Jamming phenomena of self-driven particles

Jamming phenomena of self-driven particles Jamming phenomena of self-driven particles Pedestrian Outflow and Obstacle Walking with Slow Rhythm Daichi Yanagisawa, RCAST, UTokyo Pedestrian Outflow and Obstacle Phys. Rev. E, 76(6), 061117, 2007 Phys.

More information

Design of Low Power and High Speed 4-Bit Ripple Carry Adder Using GDI Multiplexer

Design of Low Power and High Speed 4-Bit Ripple Carry Adder Using GDI Multiplexer Design of Low Power and High Speed 4-Bit Ripple Carry Adder Using GDI Multiplexer Yemmiganur. Sowmya, Department of ECE,Reva University, Bangalore soumyaymgr@gmail.com Raganna. A Department of ECE, Reva

More information

Synchronous Sequential Logic. Topics. Sequential Circuits. Chapter 5 Steve Oldridge Dr. Sidney Fels. Sequential Circuits

Synchronous Sequential Logic. Topics. Sequential Circuits. Chapter 5 Steve Oldridge Dr. Sidney Fels. Sequential Circuits Synchronous Sequential Logic Chapter 5 Steve Oldridge Dr. Sidney Fels Sequential Circuits Topics What happens when we add memory? Latches Flip-Flops Clocks State Tables Reduction of States 10/6/2010 c

More information

CS249: ADVANCED DATA MINING

CS249: ADVANCED DATA MINING CS249: ADVANCED DATA MINING Linear Regression, Logistic Regression, and GLMs Instructor: Yizhou Sun yzsun@cs.ucla.edu April 24, 2017 About WWW2017 Conference 2 Turing Award Winner Sir Tim Berners-Lee 3

More information

Design and Technology Solutions for Development of SiGeMEMS devices. Tom Flynn Vice President, Sales Coventor

Design and Technology Solutions for Development of SiGeMEMS devices. Tom Flynn Vice President, Sales Coventor Design and Technology Solutions for Development of SiGeMEMS devices Tom Flynn Vice President, Sales Coventor Special thanks to: Stephane Donnay, Program Manager, imec Gerold Schropfer, Director, Foundary

More information

Communication Amid Uncertainty

Communication Amid Uncertainty Communication Amid Uncertainty Madhu Sudan Harvard University Based on joint works with Brendan Juba, Oded Goldreich, Adam Kalai, Sanjeev Khanna, Elad Haramaty, Jacob Leshno, Clement Canonne, Venkatesan

More information

VLSI Design 14. Memories

VLSI Design 14. Memories Last module: Synthesis and Verilog This module Memory arrays SRMs Serial Memories Dynamic memories Memory rrays Memory rrays Random ccess Memory Serial ccess Memory Content ddressable Memory (CM) Read/Write

More information

San Francisco State University ECON 560 Summer Midterm Exam 2. Monday, July hour 15 minutes

San Francisco State University ECON 560 Summer Midterm Exam 2. Monday, July hour 15 minutes San Francisco State University Michael Bar ECON 560 Summer 2018 Midterm Exam 2 Monday, July 30 1 hour 15 minutes Name: Instructions 1. This is closed book, closed notes exam. 2. No calculators or electronic

More information

VLSI Design I; A. Milenkovic 1

VLSI Design I; A. Milenkovic 1 CPE/EE 427, CPE 527 VLSI esign I L2: ynamic Sequential Circuits epartment of Electrical and Computer Engineering University of Alabama in Huntsville Aleksandar Milenkovic ( www. ece.uah.edu/~milenka )

More information

SNARKs with Preprocessing. Eran Tromer

SNARKs with Preprocessing. Eran Tromer SNARKs with Preprocessing Eran Tromer BIU Winter School on Verifiable Computation and Special Encryption 4-7 Jan 206 G: Someone generates and publishes a common reference string P: Prover picks NP statement

More information

Tie Breaking Procedure

Tie Breaking Procedure Ohio Youth Basketball Tie Breaking Procedure The higher seeded team when two teams have the same record after completion of pool play will be determined by the winner of their head to head competition.

More information

Special Topics: Data Science

Special Topics: Data Science Special Topics: Data Science L Linear Methods for Prediction Dr. Vidhyasaharan Sethu School of Electrical Engineering & Telecommunications University of New South Wales Sydney, Australia V. Sethu 1 Topics

More information

VLSI Design I; A. Milenkovic 1

VLSI Design I; A. Milenkovic 1 PE/EE 427, PE 527 VLI esign I L8: Pass Transistor Logic epartment of Electrical and omputer Engineering University of labama in Huntsville leksandar Milenkovic ( www. ece.uah.edu/~milenka ) www. ece.uah.edu/~milenka/cpe527-3f

More information

Communication Amid Uncertainty

Communication Amid Uncertainty Communication Amid Uncertainty Madhu Sudan Harvard University Based on joint works with Brendan Juba, Oded Goldreich, Adam Kalai, Sanjeev Khanna, Elad Haramaty, Jacob Leshno, Clement Canonne, Venkatesan

More information

A Slew/Load-Dependent Approach to Single-variable Statistical Delay Modeling. Tau Workshop 2014 Brandon Bautz & Swamy Lokanadham Cadence

A Slew/Load-Dependent Approach to Single-variable Statistical Delay Modeling. Tau Workshop 2014 Brandon Bautz & Swamy Lokanadham Cadence A Slew/Load-Dependent Approach to Single-variable Statistical Delay Modeling Tau Workshop 2014 Brandon Bautz & Swamy Lokanadham Cadence Abstract Industrial demand for higher performing digital semiconductor

More information

Coaches, Parents, Players and Fans

Coaches, Parents, Players and Fans P.O. Box 865 * Lancaster, OH 43130 * 740-808-0380 * www.ohioyouthbasketball.com Coaches, Parents, Players and Fans Sunday s Championship Tournament in Boys Grades 5th thru 10/11th will be conducted in

More information

CS145: INTRODUCTION TO DATA MINING

CS145: INTRODUCTION TO DATA MINING CS145: INTRODUCTION TO DATA MINING 3: Vector Data: Logistic Regression Instructor: Yizhou Sun yzsun@cs.ucla.edu October 9, 2017 Methods to Learn Vector Data Set Data Sequence Data Text Data Classification

More information

knn & Naïve Bayes Hongning Wang

knn & Naïve Bayes Hongning Wang knn & Naïve Bayes Hongning Wang CS@UVa Today s lecture Instance-based classifiers k nearest neighbors Non-parametric learning algorithm Model-based classifiers Naïve Bayes classifier A generative model

More information

Surfing Interconnect

Surfing Interconnect Surfing Interconnect Mark R. Greenstreet and Jihong Ren University of British Columbia, Rambus Surfing Interconnect p.1/17 Motivation Wires are the problem: Wires scale poorly with feature size: Gate delays

More information

NATIONAL FEDERATION RULES B. National Federation Rules Apply with the following TOP GUN EXCEPTIONS

NATIONAL FEDERATION RULES B. National Federation Rules Apply with the following TOP GUN EXCEPTIONS TOP GUN COACH PITCH RULES 8 & Girls Division Revised January 11, 2018 AGE CUT OFF A. Age 8 & under. Cut off date is January 1st. Player may not turn 9 before January 1 st. Please have Birth Certificates

More information

Report for Experiment #11 Testing Newton s Second Law On the Moon

Report for Experiment #11 Testing Newton s Second Law On the Moon Report for Experiment #11 Testing Newton s Second Law On the Moon Neil Armstrong Lab partner: Buzz Aldrin TA: Michael Collins July 20th, 1969 Abstract In this experiment, we tested Newton s second law

More information

Addition and Subtraction of Rational Expressions

Addition and Subtraction of Rational Expressions RT.3 Addition and Subtraction of Rational Expressions Many real-world applications involve adding or subtracting algebraic fractions. Similarly as in the case of common fractions, to add or subtract algebraic

More information

Mitos Fluika Pressure and Vacuum Pumps Datasheet

Mitos Fluika Pressure and Vacuum Pumps Datasheet Unit 1, Anglian Business Park, Orchard Road, Royston, Hertfordshire, SG8 5TW, UK T: +44 (0)1763 242491 F: +44 (0)1763 246125 E: sales@dolomite-microfluidics.com W: www.dolomite-microfluidics.com Dolomite

More information

Support Vector Machines: Optimization of Decision Making. Christopher Katinas March 10, 2016

Support Vector Machines: Optimization of Decision Making. Christopher Katinas March 10, 2016 Support Vector Machines: Optimization of Decision Making Christopher Katinas March 10, 2016 Overview Background of Support Vector Machines Segregation Functions/Problem Statement Methodology Training/Testing

More information

graphic standards manual Mountain States Health Alliance

graphic standards manual Mountain States Health Alliance manual Mountain States mountain states health alliance Bringing Loving Care to Health Care Table of Contents 4 5 6 7 9 -- Why do we need? Brandmark Specifications Brandmark Color Palette Corporate Typography

More information

Bayesian Methods: Naïve Bayes

Bayesian Methods: Naïve Bayes Bayesian Methods: Naïve Bayes Nicholas Ruozzi University of Texas at Dallas based on the slides of Vibhav Gogate Last Time Parameter learning Learning the parameter of a simple coin flipping model Prior

More information

GFM. Typical Aluminum GFM Mass Flow Meter NIST MASS FLOW METERS. Principles of Operation. Design Features

GFM. Typical Aluminum GFM Mass Flow Meter NIST MASS FLOW METERS. Principles of Operation. Design Features Design Features Rigid metallic construction. Maximum pressure of 1000 psig (70 bars). Leak integrity 1 x 10-7 of helium. NIST traceable certification. Built-in tiltable LCD readout. 0-5 Vdc and 4-20 ma

More information

THIẾT KẾ VI MẠCH TƯƠNG TỰ CHƯƠNG 2: CMOS Technology

THIẾT KẾ VI MẠCH TƯƠNG TỰ CHƯƠNG 2: CMOS Technology THIẾT KẾ VI MẠCH TƯƠNG TỰ CHƯƠNG 2: CMOS Technology Hoàng Trang-bộ môn Kỹ Thuật Điện Tử hoangtrang@hcmut.edu.vn 1 TP.Hồ Chí Minh 12/2011 1. Overview - IC technology - CMOS vs BJT Hoàng Trang-bộ môn Kỹ

More information

Midterm Exam 1, section 2. Thursday, September hour, 15 minutes

Midterm Exam 1, section 2. Thursday, September hour, 15 minutes San Francisco State University Michael Bar ECON 312 Fall 2018 Midterm Exam 1, section 2 Thursday, September 27 1 hour, 15 minutes Name: Instructions 1. This is closed book, closed notes exam. 2. You can

More information

NCSS Statistical Software

NCSS Statistical Software Chapter 256 Introduction This procedure computes summary statistics and common non-parametric, single-sample runs tests for a series of n numeric, binary, or categorical data values. For numeric data,

More information

20 to 280l/min 21MPa. econnecting a remote control valve to the vent port allows remote control of adjustment pressure.

20 to 280l/min 21MPa. econnecting a remote control valve to the vent port allows remote control of adjustment pressure. Pressure Reducing (and heck) Valve eatures PRSSUR RU ( ) VV to 8l/min Pa -***-*- R -***-*- Specifications qthis valve is used when part of the circuit uses pressure that is lower than the main circuit.

More information

Introduction to Genetics

Introduction to Genetics Name: Introduction to Genetics Keystone Assessment Anchor: BIO.B.2.1.1: Describe and/or predict observed patterns of inheritance (i.e. dominant, recessive, co-dominance, incomplete dominance, sex-linked,

More information

Course 495: Advanced Statistical Machine Learning/Pattern Recognition

Course 495: Advanced Statistical Machine Learning/Pattern Recognition Course 495: Advanced Statistical Machine Learning/Pattern Recognition Lectures: Stefanos Zafeiriou Goal (Lectures): To present modern statistical machine learning/pattern recognition algorithms. The course

More information

Logistic Regression. Hongning Wang

Logistic Regression. Hongning Wang Logistic Regression Hongning Wang CS@UVa Today s lecture Logistic regression model A discriminative classification model Two different perspectives to derive the model Parameter estimation CS@UVa CS 6501:

More information

INSTRUCTION MANUAL STATION CONTROLLER SC100 MOTOR PROTECTION ELECTRONICS, INC.

INSTRUCTION MANUAL STATION CONTROLLER SC100 MOTOR PROTECTION ELECTRONICS, INC. INSTRUCTION MANUAL STATION CONTROLLER SC100 MOTOR PROTECTION ELECTRONICS, INC. 2464 Vulcan Road, Apopka, Florida 32703 Phone: (407) 299-3825 Fax: (407) 294-9435 Revision Date: 2-12-07 STATION CONTROLLER

More information

ISyE 6414 Regression Analysis

ISyE 6414 Regression Analysis ISyE 6414 Regression Analysis Lecture 2: More Simple linear Regression: R-squared (coefficient of variation/determination) Correlation analysis: Pearson s correlation Spearman s rank correlation Variable

More information

THE BALLISTIC PENDULUM

THE BALLISTIC PENDULUM 1. Object THE BALLISTIC PENDULUM To apply the ideas of conservation of momentum and conservation of energy, when appropriate, to the ballistic pendulum experiment. To experimentally measure the velocity

More information

Mixture Models & EM. Nicholas Ruozzi University of Texas at Dallas. based on the slides of Vibhav Gogate

Mixture Models & EM. Nicholas Ruozzi University of Texas at Dallas. based on the slides of Vibhav Gogate Mixture Models & EM Nicholas Ruozzi University of Texas at Dallas based on the slides of Vibhav Gogate Previously We looked at -means and hierarchical clustering as mechanisms for unsupervised learning

More information

Chapter 10 Aggregate Demand I: Building the IS LM Model

Chapter 10 Aggregate Demand I: Building the IS LM Model Chapter 10 Aggregate Demand I: Building the IS LM Model Zhengyu Cai Ph.D. Institute of Development Southwestern University of Finance and Economics All rights reserved http://www.escience.cn/people/zhengyucai/index.html

More information

My ABC Insect Discovery Book

My ABC Insect Discovery Book Act i vi t ypack I ns ectabcs I nt hi spac k: ABCASLSi gnfl as hcar ds I ns ec tabcac t i v i t y SongL y r i c s AndMor e! Ac i t i v i ess uppor ts i gnsandc onc ept st aughti n Rac hel&t het r eesc

More information

Full Name: Period: Heredity EOC Review

Full Name: Period: Heredity EOC Review Full Name: Period: 1 4 5 6 7 Heredity EOC Review Directions: For each genotype below, indicate whether it is a heterozygous (write: He) OR homozygous (write: Ho). 1. Tt BB DD ff tt dd dd Ff TT Bb bb FF

More information

The Simple Linear Regression Model ECONOMETRICS (ECON 360) BEN VAN KAMMEN, PHD

The Simple Linear Regression Model ECONOMETRICS (ECON 360) BEN VAN KAMMEN, PHD The Simple Linear Regression Model ECONOMETRICS (ECON 360) BEN VAN KAMMEN, PHD Outline Definition. Deriving the Estimates. Properties of the Estimates. Units of Measurement and Functional Form. Expected

More information

Functions of Random Variables & Expectation, Mean and Variance

Functions of Random Variables & Expectation, Mean and Variance Functions of Random Variables & Expectation, Mean and Variance Kuan-Yu Chen ( 陳冠宇 ) @ TR-409, NTUST Functions of Random Variables 1 Given a random variables XX, one may generate other random variables

More information

TSP at isolated intersections: Some advances under simulation environment

TSP at isolated intersections: Some advances under simulation environment TSP at isolated intersections: Some advances under simulation environment Zhengyao Yu Vikash V. Gayah Eleni Christofa TESC 2018 December 5, 2018 Overview Motivation Problem introduction Assumptions Formation

More information

Design Features. General Description

Design Features. General Description Model thermal Mass Flow Controllers are designed to indicate and control set flow rates of gases. The combines the characteristics and accuracy of conventional mass flow devices into a unique compact design

More information

CT4510: Computer Graphics. Transformation BOCHANG MOON

CT4510: Computer Graphics. Transformation BOCHANG MOON CT4510: Computer Graphics Transformation BOCHANG MOON 2D Translation Transformations such as rotation and scale can be represented using a matrix M ee. gg., MM = SSSS xx = mm 11 xx + mm 12 yy yy = mm 21

More information

DESIGN AND CHARACTERIZATION OF 20NM SOI MOSFET DOPING ABRUPTNESS DEPENDENT

DESIGN AND CHARACTERIZATION OF 20NM SOI MOSFET DOPING ABRUPTNESS DEPENDENT DESIGN AND CHARACTERIZATION OF 20NM SOI MOSFET DOPING ABRUPTNESS DEPENDENT A. S. M. Zain 1, N. F. M. Zain 2, F. Salehuddin 1, N. Jamaluddin 2 and N. Abdullah Yaacob 2 1 Centre for Telecommunication Research

More information

Operation of signalized diamond interchanges with frontage roads using dynamic reversible lane control. Department of Civil Engineering and Mechanics

Operation of signalized diamond interchanges with frontage roads using dynamic reversible lane control. Department of Civil Engineering and Mechanics 0 0 0 0 Operation of signalized diamond interchanges with frontage roads using dynamic reversible lane control Xin Li, Research Assistant, Department of Civil Engineering and Mechanics, University of Wisconsin

More information

VLSI Design I; A. Milenkovic 1

VLSI Design I; A. Milenkovic 1 State Registers Review: Sequential efinitis CPE/EE 427, CPE 527 VLSI esign I L2: ynamic Sequential Circuits epartment of Electrical and Computer Engineering University of labama in Huntsville leksandar

More information

Adiabatic Switching. A Survey of Reversible Computation Circuits. Benjamin Bobich, 2004

Adiabatic Switching. A Survey of Reversible Computation Circuits. Benjamin Bobich, 2004 Adiabatic Switching A Survey of Reversible Computation Circuits Benjamin Bobich, 2004 Agenda for Today 1. The Basics of Adiabatic Logic and the Fundamentals of Adiabatic Charging 2. Early Adiabatic Circuit

More information

HW #5: Digital Logic and Flip Flops

HW #5: Digital Logic and Flip Flops HW #5: Digital Logic and Flip Flops This homework will walk through a specific digital design problem in all its glory that you will then implement in this weeks lab. 1 Write the Truth Table (10 pts) Consider

More information

A 64 Bit Pipeline Based Decimal Adder Using a New High Speed BCD Adder

A 64 Bit Pipeline Based Decimal Adder Using a New High Speed BCD Adder A 64 Bit Pipeline Based Decimal Adder Using a New High Speed BCD Adder Rahul Jain 1, Khushboo Singh 2, Ghanshyam Jangid 3 1 Assistant Professor GIT College Jaipur, Rajasthan, India 2 M.Tech Student, Suresh

More information

Philips SAA7118E Multi Standard Video Decoder Process Review

Philips SAA7118E Multi Standard Video Decoder Process Review March 31, 2006 Philips SAA7118E Multi Standard Video Decoder Process Review For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor

More information

Machine Learning Application in Aviation Safety

Machine Learning Application in Aviation Safety Machine Learning Application in Aviation Safety Surface Safety Metric MOR Classification Presented to: By: Date: ART Firdu Bati, PhD, FAA September, 2018 Agenda Surface Safety Metric (SSM) development

More information

PIG MOTION AND DYNAMICS IN COMPLEX GAS NETWORKS. Dr Aidan O Donoghue, Pipeline Research Limited, Glasgow

PIG MOTION AND DYNAMICS IN COMPLEX GAS NETWORKS. Dr Aidan O Donoghue, Pipeline Research Limited, Glasgow PIG MOTION AND DYNAMICS IN COMPLEX GAS NETWORKS Dr Aidan O Donoghue, Pipeline Research Limited, Glasgow A model to examine pigging and inspection of gas networks with multiple pipelines, connections and

More information

ATM 322 Basic Pneumatics H.W.6 Modules 5 7

ATM 322 Basic Pneumatics H.W.6 Modules 5 7 ATM 322 Basic Pneumatics H.W.6 Modules 5 7 Name: Answer Key Mark: Question I: Write (T) for True and (F) for false sentences. A) For the time dependant process control; Step enabling conditions are generated

More information

Florida from A-Z. Private use only Kelli

Florida from A-Z.  Private use only Kelli Florida from A-Z www.adventurezinchildrearing.com Kelli Becton @AdventurZNchild Private use only copyright @AdventurezinChilcRearing Table of Contents Aa is for Alligator.........................................................

More information

Operations on Radical Expressions; Rationalization of Denominators

Operations on Radical Expressions; Rationalization of Denominators 0 RD. 1 2 2 2 2 2 2 2 Operations on Radical Expressions; Rationalization of Denominators Unlike operations on fractions or decimals, sums and differences of many radicals cannot be simplified. For instance,

More information

Modeling Approaches to Increase the Efficiency of Clear-Point- Based Solubility Characterization

Modeling Approaches to Increase the Efficiency of Clear-Point- Based Solubility Characterization Modeling Approaches to Increase the Efficiency of Clear-Point- Based Solubility Characterization Paul Larsen, Dallin Whitaker Crop Protection Product Design & Process R&D OCTOBER 4, 2018 TECHNOBIS CRYSTALLI

More information

Iteration: while, for, do while, Reading Input with Sentinels and User-defined Functions

Iteration: while, for, do while, Reading Input with Sentinels and User-defined Functions Iteration: while, for, do while, Reading Input with Sentinels and User-defined Functions This programming assignment uses many of the ideas presented in sections 6 and 7 of the course notes. You are advised

More information

Name: Grade: LESSON ONE: Home Row

Name: Grade: LESSON ONE: Home Row LESSON ONE: Home Row asdfjkl; asdfjkl; asdfjkl; aa ss dd ff jj kk ll ;; aa ss dd ff jj kk ll ;; aa ss dd ff jj kk ll ;; aa ss dd ff jj kk ll ;; aa ss dd ff jj kk ll ;; aa ss dd ff jj kk ll ;; aa ss dd

More information

Addressing DDR5 design challenges with IBIS-AMI modeling techniques

Addressing DDR5 design challenges with IBIS-AMI modeling techniques Addressing DDR5 design challenges with IBIS-AMI modeling techniques Todd Westerhoff, SiSoft Doug Burns, SiSoft Eric Brock, SiSoft DesignCon 2018 IBIS Summit Santa Clara, California February 2, 2018 Agenda

More information

Lecture 10. Support Vector Machines (cont.)

Lecture 10. Support Vector Machines (cont.) Lecture 10. Support Vector Machines (cont.) COMP90051 Statistical Machine Learning Semester 2, 2017 Lecturer: Andrey Kan Copyright: University of Melbourne This lecture Soft margin SVM Intuition and problem

More information

A microscopic view. Solid rigid body. Liquid. Fluid. Incompressible. Gas. Fluid. compressible

A microscopic view. Solid rigid body. Liquid. Fluid. Incompressible. Gas. Fluid. compressible Hello! I m Chris Blake, your lecturer for the rest of semester We ll cover: fluid motion, thermal physics, electricity, revision MASH centre in AMDC 503-09.30-16.30 daily My consultation hours: Tues 10.30-12.30

More information

115th Vienna International Rowing Regatta & International Masters Meeting. June 15 to June 17, 2018

115th Vienna International Rowing Regatta & International Masters Meeting. June 15 to June 17, 2018 115th Vienna International Rowing Regatta & International Masters Meeting Conducted by the Vienna Rowing Association An event forming part of the Austrian Club Championships 2018 (ÖVM 2018) June 15 to

More information

AFC. SDPROC and AFC Analog Mass Flow Controller ANALOG MASS FLOW CONTROLLERS. Principles of Operation. Design Features

AFC. SDPROC and AFC Analog Mass Flow Controller ANALOG MASS FLOW CONTROLLERS. Principles of Operation. Design Features ANALOG MASS FLOW CONTROLLERS Model AF mass fl ow controllers are designed to indicate fl ow rates and control set fl ow rates of gases. Each of these units incorporates an advanced straight tube sensor

More information

Use of Auxiliary Variables and Asymptotically Optimum Estimators in Double Sampling

Use of Auxiliary Variables and Asymptotically Optimum Estimators in Double Sampling International Journal of Statistics and Probability; Vol. 5, No. 3; May 2016 ISSN 1927-7032 E-ISSN 1927-7040 Published by Canadian Center of Science and Education Use of Auxiliary Variables and Asymptotically

More information

Holly Burns. Publisher Mary D. Smith, M.S. Ed. Author

Holly Burns. Publisher Mary D. Smith, M.S. Ed. Author Editor Jenni Corcoran, M.Ed. Illustrator Renée Christine Yates Editorial Project Manager Mara Ellen Guckian Cover rtist Denise auer Managing Editor Ina Massler Levin, M.. Creative Director Karen J. Goldfluss,

More information

Lab 3 Introduction to Quantitative Analysis: Pumps and Measurements of Flow

Lab 3 Introduction to Quantitative Analysis: Pumps and Measurements of Flow Georgia Institute of Technology School of Earth and Atmospheric Sciences EAS 4641, Spring 2008 Lab 3 Introduction to Quantitative Analysis: Pumps and Measurements of Flow Purpose of Lab 3: 1) To gain a

More information

Simplifying Radical Expressions and the Distance Formula

Simplifying Radical Expressions and the Distance Formula 1 RD. Simplifying Radical Expressions and the Distance Formula In the previous section, we simplified some radical expressions by replacing radical signs with rational exponents, applying the rules of

More information

Design, Operation and Maintenance of a Swimming Pool

Design, Operation and Maintenance of a Swimming Pool Page 1 of 2 WASHINGTON STATE MATHEMATICS COUNCIL 2018 MIDDLE SCHOOL MATH OLYMPIAD Session I: PROBLEM SOLVING Design, Operation and Maintenance of a Swimming Pool The City is planning to build a swimming

More information

COMPRESSOR PACK SUCTION CONTROLLER TYPE: LP41x

COMPRESSOR PACK SUCTION CONTROLLER TYPE: LP41x Electrical Installation Requirements Care should be taken to separate the power and signal cables to prevent electrical interference and possible damage due to inadvertent connection. SUPPLY E L N LN2

More information

Oxygen Meter User Manual

Oxygen Meter User Manual Oxygen Meter User Manual Monday, July 23, 2007 1. Outline...2 2. Program...3 2.1. Environment for program execution...3 2.2. Installation...3 2.3. Un installation...3 2.4. USB driver installation...3 2.5.

More information

CD4008BMS. CMOS 4-Bit Full Adder With Parallel Carry Out. Features. Pinout. Logic Diagram. Applications. Description.

CD4008BMS. CMOS 4-Bit Full Adder With Parallel Carry Out. Features. Pinout. Logic Diagram. Applications. Description. CD48BMS November 994 CMOS 4-Bit Full Adder With Parallel Carry Out Features Pinout High-Voltage Type (2V Rating) 4 Sum Outputs Plus Parallel Look-ahead Carry-Output CD48BMS TOP VIEW High-Speed Operation

More information