Performances of fluoropolymer resists for 157-nm lithography

Size: px
Start display at page:

Download "Performances of fluoropolymer resists for 157-nm lithography"

Transcription

1 1 Performances of fluoropolymer resists for 157-nm lithography Seiichi Ishikawa, Naomi Shida, Tamio Yamazaki, Hiroyuki Watanabe, Seiro Miyoshi, Takamitsu Furukawa, Minoru Toriumi and Toshiro Itani

2 Contents 2 Introduction Characterization of base resin Summary Target properties for single-layer resists Structures of fluoropolymers Transparency RIE resistance Alkaline solubility Outgassing Imaging and pattern transfer Imaging by 0.85NA microstepper L/S and C/H pattern transfer

3 3 Target performances for single-layer resists Optical properties ; Absorbance < 1.5µm -1 RIE resistance ; Rate < 1.0X to ArF resist Dissolution property ; No swelling, Rmax > 200nm/s Imaging performance ; Resolution limit < 65nm

4 4 Target performances for single-layer resists Optical properties ; Absorbance < 1.5µm -1 RIE resistance ; Rate < 1.0X to ArF resist Dissolution property ; No swelling, Rmax > 200nm/s Imaging performance ; Resolution limit < 65nm Main-chain fluorinated polymers

5 5 Absorbance of fluoropolymers Frequency Main-chain fluorination Other fluorination Absorption coefficient mm [µm -1 ] -1 ]

6 6 Main-chain fluorinated polymer TFE-NB Monocyclic polymer F F F F F F F F F F F k l m O O R F F HO CF 3 m F F RO CF 3 n FP1 FP2 - Superior optical transparencies - Suitable dissolution behavior into alkaline developer - Proper RIE resistance

7 FP1 : TFE + NB + α-fluoroolefin 7 CF 2 CF 2 x y CH 2 CF C z O luorinated backbones High transmittance Norbornene RIE resistance O R Alkaline soluble group Protecting group Imaging, Adhesion R = H, tboc, etc

8 FP2 : Monocyclic polymer 8 CF 2 CF CH 2 x CF 2 CF CH 2 y CF 2 CF 2 CF 3 OH Fluorinated backbones High transmittance Cyclic structure RIE resistance CF 3 O R R=t-BOC,THP,etc Alkaline soluble group Protecting group Imaging, Adhesion

9 9 Characterization of base resin Transparency RIE resistance Alkaline solubility Outgassing

10 10 Absorption coefficient on F contents Absorption coefficient [µm -1 ] FP1 FP Fluorine atom content [wt%] %T thickness [nm] FP1,FP2 were archived Abs. < 1.5 µm -1

11 3 RIE rate on F contents 11 RIE rate [vs. ArF resist] FP1 FP Fluorine atom content [wt%] FP1 = same as ArF resist FP2 = Low durability

12 12 Dissolution behavior 250 FP1 150 FP Thickness / nm Development time / s Rmax = 240 nm/s Development time / s Rmax = 30 nm/s No swelling

13 Outgassing from resist (GC-MS chart) 13 Total ion intensity / urb.unit Total Dose 100 mj/cm 2 Pulse energy 0.1 mj/cm 2 -pulse Laser frequency 10 Hz Irradiation area 1.2 cm x 2.4 cm Nb-HFIPA FP1 FP2 PAG:TPSOTf, 5wt% Retention time / min

14 14 Total amount of outgassing from resist 500 Amount of outgas / ng Nb-HFIPA FP1 FP2

15 15 Contents of outgassing from resist Amount of outgas / ng 500 Others 400 C-F Blocking Gr. 300 PAG Nb-HFIPA FP1 FP2

16 16 Characterization summary FP1 FP2 Absorbance µm µm -1 RIE (vs. ArF) X X Dissolution rate (R max ) 240 nm/s nm/s Outgassing < 430 ng < 50 ng

17 17 Imaging Resolution limit by 0.85NA microstepper Resolution of thick film imaging of FP2 based resist

18 18 FP1-based resist Substrate : BARC/85nm Si Reticle : Alt. PSM Illumination : 0.85NA / 0.30σ 70 nm L/S 65 nm L/S 60 nm L/S Thickness = 120 nm

19 19 FP2-based resist Substrate : BARC/85nm Si Reticle : Alt. PSM Illumination : 0.85NA / 0.30σ 70 nm L/S 65 nm L/S 60 nm L/S Thickness = 150 nm

20 20 Thick film imaging by FP2 based resist 90 nm L/S 85 nm L/S Substrate : BARC/85nm Si Reticle : Alt. PSM Illumination : 0.85NA / 0.30σ 80 nm L/S Thickness = 250 nm

21 21 Pattern transfer L/S pattern C/H pattern

22 22 Film structure Resist Organic BARC = 30nm SiN = 70nm (L/S) 100nm (C/H) Substrate for L/S pattern for C/H pattern

23 Pattern transfer of 130nm L/S pattern 23 Development BARC/SiN RIE Ashing FP1 50nm t.) FP2 50nm t.) The pattern profiles of of SiN were fairly good

24 Pattern transfer of 150nm C/H pattern using by FP2 based resist 24 Development BARC/SiN RIE Resist/BARC ashing TEOS SiO 2 RIE FP2 0nm t.) C/H pattern was successfully fabricated

25 Summary Two main-chain fluorinated polymer families (FP1,FP2) were evaluated ; FP1 show high transmittance, good RIE resistance, suitable dissolution and much outgassing. FP2 show excellently high transmittance, lower RIE resistance, relatively slow dissolution and extremely small outgassing. 2. Fluoropolymer based resists were evaluated by 0.85NA microstepper ; FP1 resolved 65nmL/S (120nm t). FP2 resolved 65nmL/S (150nm t) and 85nmL/S (250nm t). L/S pattern transfer were succeeded by FP1 and FP2. C/H pattern transfer were succeeded by FP2. More improvement of polymer characteristics, resist resolution and fabrication process are now underway.

26 Acknowledgements 26

(OBPL: Out of Band Protection Layer) Ryuji Onishi, Rikimaru Sakamoto, Noriaki Fujitani, Takafumi Endo, Bang-ching Ho

(OBPL: Out of Band Protection Layer) Ryuji Onishi, Rikimaru Sakamoto, Noriaki Fujitani, Takafumi Endo, Bang-ching Ho The Novel Top-Coat Material for RLS Trade-off reduction in EUVL (: Out of Band Protection Layer) Ryuji Onishi, Rikimaru Sakamoto, Noriaki Fujitani, Takafumi Endo, Bang-ching Ho Semiconductor Materials

More information

Our Approaches to EUV Resist Materials. N. Ohshima ELECTRONIC MATERIALS RESEARCH LABORATORIES RESEARCH & DEVELOPMENT MANAGEMENT HEADQUARTERS

Our Approaches to EUV Resist Materials. N. Ohshima ELECTRONIC MATERIALS RESEARCH LABORATORIES RESEARCH & DEVELOPMENT MANAGEMENT HEADQUARTERS Our Approaches to EUV Resist Materials N. Ohshima ELECTRONIC MATERIALS RESEARCH LABORATORIES RESEARCH & DEVELOPMENT MANAGEMENT HEADQUARTERS Page 1 June 2008 Outline 1. Challenges to EUV Resist Materials

More information

Contamination Removal of EUVL masks and optics using 13.5-nm and 172-nm radiation

Contamination Removal of EUVL masks and optics using 13.5-nm and 172-nm radiation Contamination Removal of EUVL masks and optics using 13.5-nm and 172-nm radiation Takeo Watanabe, Kazuhiro Hamamoto and Hiroo Kinoshita University of Hyogo IEUVI Resist & Contamination TWG Oct.19, 2006

More information

EUV Resist Performance under High Stray Light Levels: an Interference Lithography Study

EUV Resist Performance under High Stray Light Levels: an Interference Lithography Study EUV Resist Performance under High Stray Light Levels: an Interference Lithography Study Roel Gronheid 1, Alan M. Myers 1,2, Frieda Van Roey 1, Harun H. Solak 3, Yasin Ekinci 3, Tom Vandeweyer 1, Anne-Marie

More information

Ionic outgassing from photoresist compositions upon irradiation at 13.5 nm

Ionic outgassing from photoresist compositions upon irradiation at 13.5 nm Ionic outgassing from photoresist compositions upon irradiation at 13.5 nm Grace H. Ho, Chih- Jen Liu, Chih- Han Yen, Ming- Hsuan Ho, Shih-Yu Wu, and Yu-Hsian Shih Department of Applied Chemistry National

More information

Quartz etch process to improve etch depth linearity and uniformity using Mask Etcher IV

Quartz etch process to improve etch depth linearity and uniformity using Mask Etcher IV Quartz etch process to improve etch depth linearity and uniformity using Mask Etcher IV Sunil Srinivasan *, Jason Plumhoff, Russ Westerman, Dave Johnson, Chris Constantine Unaxis USA, Inc. 10050 16 th

More information

Water for Immersion Lithography

Water for Immersion Lithography Water for Immersion Lithography M. Switkes, V. Liberman, and M. Rothschild Lincoln Laboratory Massachusetts Institute of Technology Lexington, MA 02420 Outline Water treatment The symptoms Differences

More information

Outgas Testing Update on EUV Light vs. Electron beam

Outgas Testing Update on EUV Light vs. Electron beam Outgas Testing Update on EUV Light vs. Electron beam Toshiya Takahashi, Norihiko Sugie, Kazuhiro Katayama, Isamu Takagi Yukiko Kikuchi, Eishi Shiobara, Hiroyuki Tanaka, Soichi Inoue EUVL Infrastructure

More information

Outgassing, photoablation and photoionization of organic materials by the electron-impact and photon-impact methods

Outgassing, photoablation and photoionization of organic materials by the electron-impact and photon-impact methods Outgassing, photoablation and photoionization of organic materials by the electron-impact and photon-impact methods Grace H. Ho*, Yen-H. Huang, Hung-M. Lin, Chih-H. Shao, Chen-Y. Yeh, and Jia-J. Sung Department

More information

Plasma Sources and Feedback Control in Pretreatment Web Coating Applications

Plasma Sources and Feedback Control in Pretreatment Web Coating Applications Plasma Sources and Feedback Control in Pretreatment Web Coating Applications Joseph Brindley, Benoit Daniel, Victor Bellido-Gonzalez, Dermot Monaghan Gencoa Ltd., Physics Rd, L24 9HP Liverpool, UK (+44)

More information

Inert Atmosphere Guide

Inert Atmosphere Guide Inert Atmosphere Guide The UV curing of acrylate monomers is a free radical polymerization process in which UV radiation is absorbed and activates a certain type of molecules, photoinitiators. The activated

More information

High Aspect Ratio DRIE on the STS ICP-RIE

High Aspect Ratio DRIE on the STS ICP-RIE High Aspect Ratio DRIE on the STS ICP-RIE Aspect Ratio Dependant Etching (ARDE) is the difference in the etch depth of features with varying areas of silicon. Areas with more open silicon etch faster compared

More information

Study of air bubble induced light scattering effect on the image quality in 193 nm immersion lithography

Study of air bubble induced light scattering effect on the image quality in 193 nm immersion lithography Rochester Institute of Technology RIT Scholar Works Presentations and other scholarship 2004 Study of air bubble induced light scattering effect on the image quality in 193 nm immersion lithography Yongfa

More information

FOUP material influence on HF contamination during queue-time

FOUP material influence on HF contamination during queue-time Solid State Phenomena Online: 2014-09-26 ISSN: 1662-9779, Vol. 219, pp 251-255 doi:10.4028/www.scientific.net/ssp.219.251 2015 Trans Tech Publications, Switzerland FOUP material influence on HF contamination

More information

Resist round robin ELETTRA

Resist round robin ELETTRA Resist round robin outgassing @ ELETTRA Trieste - Italy M. Bertolo, P. Lacovig, L.Rumiz, D. Giuressi, R. Sergo, A. Stolfa Experimental setup (1) 100 mm linear translator Sample manipulator Mask manipulator

More information

Outgas of Methane from NEG Coating

Outgas of Methane from NEG Coating WS63: SURFACE PHENOMENA LIMITING PRESSURE IN VACUUM SYSTEM Outgas of Methane from NEG Coating Chia-Mu Cheng ( 鄭家沐 ) Vacuum Group NSRRC, Taiwan 18 /09/2010 e-mail : chiamucheng@nsrrc.org.tw 1 Application

More information

Understanding How the Appearance of Optical Fiber Splices Relates to Splice Quality

Understanding How the Appearance of Optical Fiber Splices Relates to Splice Quality Understanding How the Appearance of Optical Fiber Splices Relates to Splice Quality Douglas Duke & David Mansperger Fusion Splicing Systems, AFL, Duncan, SC Doug.Duke@AFLglobal.com David.Mansperger@AFLglobal.com

More information

Plasma Cleaner. Yamato Scientific America. Contents. Innovating Science for Over 125 Years. Gas Plasma Dry Cleaner PDC200/210/510 PDC610G.

Plasma Cleaner. Yamato Scientific America. Contents. Innovating Science for Over 125 Years. Gas Plasma Dry Cleaner PDC200/210/510 PDC610G. Yamato Scientific America Innovating Science for Over 125 Years Plasma Cleaner Contents Gas Plasma Dry Cleaner PDC200/210/510 PDC610G Gas Plasma Reactor 145 146 147 149 144 Gas Plasma Dry Cleaner Plasma

More information

NNCI ETCH WORKSHOP SI DRIE IN PLASMATHERM DEEP SILICON ETCHER. Usha Raghuram Stanford Nanofabrication Facility Stanford, CA May 25, 2016

NNCI ETCH WORKSHOP SI DRIE IN PLASMATHERM DEEP SILICON ETCHER. Usha Raghuram Stanford Nanofabrication Facility Stanford, CA May 25, 2016 NNCI ETCH WORKSHOP SI DRIE IN PLASMATHERM DEEP SILICON ETCHER Usha Raghuram Stanford Nanofabrication Facility Stanford, CA May 25, 2016 PLASMATHERM DEEP SI ETCHER PROCESS PARAMETERS Process Parameters/

More information

Novel Applications of Hollow Glass Microspheres

Novel Applications of Hollow Glass Microspheres An IMI Video Reproduction of Invited Lectures from the 17th University Glass Conference Novel Applications of Hollow Glass Microspheres Matthew M. Hall and James E. Shelby Alfred University School of Engineering

More information

LASER INDUCED FLUORESCENCE MEASUREMENTS OF CARBON DIOXIDE DISSOLUTION IN WAVE-BREAKING TURBULENCE

LASER INDUCED FLUORESCENCE MEASUREMENTS OF CARBON DIOXIDE DISSOLUTION IN WAVE-BREAKING TURBULENCE LASER INDUCED FLUORESCENCE MEASUREMENTS OF CARBON DIOXIDE DISSOLUTION IN WAVE-BREAKING TURBULENCE Yasunori Watanabe 1, Junichi Otsuka 2 and Ayumi Saruwatari 3 Spatial distributions of dissolved carbon

More information

Manufacturing Processes for WSi 2 -GPSOI Substrates and their Influence on Cross-talk Suppression and Inductance

Manufacturing Processes for WSi 2 -GPSOI Substrates and their Influence on Cross-talk Suppression and Inductance Manufacturing Processes for WSi 2 -GPSOI Substrates and their Influence on Cross-talk Suppression and Inductance PT Baine*, HS Gamble *, BM Armstrong *, DW McNeill *, M Bain* J Hamel #, S Stefanos +, M

More information

XSL-360-5E. UV LED 5 mm TECHNICAL DATA. Absolute Maximum Ratings (T a =25 C) Specifications (If=20mA, T a =25 C) Drawing

XSL-360-5E. UV LED 5 mm TECHNICAL DATA. Absolute Maximum Ratings (T a =25 C) Specifications (If=20mA, T a =25 C) Drawing XSL-360-5E TECHNICAL DATA UV LED 5 mm Drawing Absolute Maximum Ratings (T a =25 C) Item Symbol Value Unit DC Forward Current I F 25 ma Peak Pulse Forward Current * 1 I FP 100 ma Power Dissipation P D 100

More information

01 18/11/2013 correction of the reference of the product 1 4 5

01 18/11/2013 correction of the reference of the product 1 4 5 The signed original report cancels all results and draft documents previously submitted. Each updated version of the report supersedes all previous ones. All void copies must be returned to CETIAT or destroyed.

More information

High performing AgNWs transparent conducting electrodes with 2.5Ω/Sq based upon Roll-to- Roll compatible post processing technique

High performing AgNWs transparent conducting electrodes with 2.5Ω/Sq based upon Roll-to- Roll compatible post processing technique Electronic Supplementary Material (ESI) for Nanoscale. This journal is The Royal Society of Chemistry 2019 High performing AgNWs transparent conducting electrodes with 2.5Ω/Sq based upon Roll-to- Roll

More information

WCA gas trap results and ebullition modeling: testing an ebullition model shows the importance of pore structure

WCA gas trap results and ebullition modeling: testing an ebullition model shows the importance of pore structure WCA gas trap results and ebullition modeling: testing an ebullition model shows the importance of pore structure William Wright¹, Jorge Ramirez², Xavier Comas¹ ¹Department of Geosciences, Florida Atlantic

More information

REACTIVE ION ETCHING OF SILICON DIOXIDE USING BOTH OXYGEN AND CARBON DIOXIDE AS GAS ADDITIVES. dames E. Constantino ABSTRACT

REACTIVE ION ETCHING OF SILICON DIOXIDE USING BOTH OXYGEN AND CARBON DIOXIDE AS GAS ADDITIVES. dames E. Constantino ABSTRACT REACTIVE ION ETCHING OF SILICON DIOXIDE USING BOTH OXYGEN AND CARBON DIOXIDE AS GAS ADDITIVES dames E. Constantino 5th Year Microelectronic Engineering Student Rochester Institute of Technology BACKGROUND

More information

Karl Suss MJB4 Mask Aligner

Karl Suss MJB4 Mask Aligner Karl Suss MJB4 Mask Aligner Tool Manager: Yong Sun ( yongs@princeton.edu; Office 8-8234; Cell 609-917-5076 ) Backup: George Watson ( gwatson@princeton.edu; Office 8-4626; Cell 732-996-2713 ) ******************************************************************************

More information

CPE/EE 427, CPE 527 VLSI Design I IC Manufacturing. The MOS Transistor

CPE/EE 427, CPE 527 VLSI Design I IC Manufacturing. The MOS Transistor CPE/EE 427, CPE 527 VLSI Design I IC Manufacturing Department of Electrical and Computer Engineering University of Alabama in Huntsville Aleksandar Milenkovic The MOS Transistor Polysilicon Aluminum 9/11/2006

More information

Hydrophilic/Hydrophobic Interphase-Mediated. Bubble-Like Stretchable Janus Ultrathin Films. Towards Self-Adaptive and Pneumatic

Hydrophilic/Hydrophobic Interphase-Mediated. Bubble-Like Stretchable Janus Ultrathin Films. Towards Self-Adaptive and Pneumatic 1 Supporting Information for Hydrophilic/Hydrophobic Interphase-Mediated Bubble-Like Stretchable Janus Ultrathin Films Towards Self-Adaptive and Pneumatic Multifunctional Electronics Peng Xiao,, Yun Liang,,

More information

Improved Sputter Depth Resolution in Auger Thin Film Analysis Using In Situ Low Angle Cross-Sections

Improved Sputter Depth Resolution in Auger Thin Film Analysis Using In Situ Low Angle Cross-Sections Improved Sputter Depth Resolution in Auger Thin Film Analysis Using In Situ Low Angle Cross-Sections Uwe Scheithauer SIEMENS AG, ZT MF 7, Otto-Hahn-Ring 6, 81730 München, Germany Phone: + 49 89 636-44143,

More information

i-clicker Discussion Question

i-clicker Discussion Question PHY132 Introduction to Physics II Class Class 3 Outline: Outline: Ch. 21, sections 21.1-21.4 The Principle of Superposition Standing Waves Nodes and Antinodes Musical Instruments QuickCheck 1.1 i-clicker

More information

Artisan Technology Group is your source for quality new and certified-used/pre-owned equipment

Artisan Technology Group is your source for quality new and certified-used/pre-owned equipment Artisan Technology Group is your source for quality new and certified-used/pre-owned equipment FAST SHIPPING AND DELIVERY TENS OF THOUSANDS OF IN-STOCK ITEMS EQUIPMENT DEMOS HUNDREDS OF MANUFACTURERS SUPPORTED

More information

Abrasive wear of UHMWPE yarns against ceramic pins

Abrasive wear of UHMWPE yarns against ceramic pins Abrasive wear of UHMWPE yarns against ceramic pins Juan Pu Ph.D student Mechanical Engineering, UC Berkeley Outline 1. Introduction 2. Experimental setup 3. Surface characterization of UHMWPE fibers and

More information

Three-Dimensional Plasmonic Hydrogel Architecture: Facile Synthesis and Its Macro Scale Effective Space

Three-Dimensional Plasmonic Hydrogel Architecture: Facile Synthesis and Its Macro Scale Effective Space Electronic Supplementary Material (ESI) for RSC Advances. This journal is The Royal Society of Chemistry 0 Electronic Supporting Information Three-Dimensional Plasmonic Hydrogel Architecture: Facile Synthesis

More information

Chuck Cleaning Wafer (CCW) to remove dusts / particles on wafer chuck (Electrostatic, Vacuum, Mechanical) to be cause of machine troubles

Chuck Cleaning Wafer (CCW) to remove dusts / particles on wafer chuck (Electrostatic, Vacuum, Mechanical) to be cause of machine troubles Chuck Cleaning Wafer (CCW) to remove dusts / particles on wafer chuck (Electrostatic, Vacuum, Mechanical) to be cause of machine troubles Contact KYODO INTERNATIONAL INC. http://www.kyodo-inc.co.jp/ 2-10-9

More information

Development of underwater laser cutting technique for steel and zircaloy for nuclear applications

Development of underwater laser cutting technique for steel and zircaloy for nuclear applications PRAMANA c Indian Academy of Sciences Vol. 75, No. 6 journal of December 2010 physics pp. 1253 1258 Development of underwater laser cutting technique for steel and zircaloy for nuclear applications R K

More information

Improvements in the Reliability, Costs and Processing of WLP/RDL Circuits

Improvements in the Reliability, Costs and Processing of WLP/RDL Circuits Improvements in the Reliability, Costs and Processing of WLP/RDL Circuits Bill Moffat, Chief Executive Officer John Das, Ph.D., Process Engineer Wesley Lau, Senior Sales Engineer Kenneth Sautter, Senior

More information

Optical-Polymer and Polymer-Clad- Silica-Fiber Data Buses for Vehicles and Airplanes Principles, Limits and New Trends

Optical-Polymer and Polymer-Clad- Silica-Fiber Data Buses for Vehicles and Airplanes Principles, Limits and New Trends Optical-Polymer and Polymer-Clad- Silica-Fiber Data Buses for Vehicles and Airplanes Principles, Limits and New Trends Otto Strobel, Daniel Seibl, Jan Lubkoll, Uwe Strauß Optical Transmission Optical Detector

More information

WaterClear Ultra Durable, strong, transparent, water-resistant resin for stereolithography For Solid State Laser Systems (335 nm)

WaterClear Ultra Durable, strong, transparent, water-resistant resin for stereolithography For Solid State Laser Systems (335 nm) WaterClear Ultra 10122 Durable, strong, transparent, water-resistant resin for stereolithography For Solid State Laser Systems (335 nm) Description DSM Somos WaterClear Ultra 10122 is a next generation

More information

TenCate TC410 PRODUCT DATA SHEET

TenCate TC410 PRODUCT DATA SHEET DESCRIPTION TC41 is TenCate s newest high performance cyanate ester resin system designed specifically for the latest generation of radomes and satellite structures. TC41 is unique in that it allows for

More information

COMELEC C-30-S Parylene Coating System

COMELEC C-30-S Parylene Coating System COMELEC C-30-S Parylene Coating System Comelec C-30-S Parylene deposition system Introduction Parylene is a polymere deposited at room temperature in a vacuum chamber (few µb). Parylene coating is perfectly

More information

Vibration isolated tables. Individually tailored to your requirements.

Vibration isolated tables. Individually tailored to your requirements. 68 Vibration isolated tables Individually tailored to your requirements. LTH laboratory table Particularly robust and resistant n Adjustable leveling mounts n Rigid, welded steel subframe n BiAir membrane

More information

Advanced Technology Center. Vacuum Optics. Mark Sullivan December 2, Vacuum Optics 1

Advanced Technology Center. Vacuum Optics. Mark Sullivan December 2, Vacuum Optics 1 Advanced Technology Center Vacuum Optics Mark Sullivan December 2, 2006 Vacuum Optics 1 Outline System design approach Specific steps to build successful vacuum optics ASTM E 1559 outgassing test Resources

More information

WindProspector TM Lockheed Martin Corporation

WindProspector TM Lockheed Martin Corporation WindProspector TM www.lockheedmartin.com/windprospector 2013 Lockheed Martin Corporation WindProspector Unparalleled Wind Resource Assessment Industry Challenge Wind resource assessment meteorologists

More information

at NIST: ultra-low outgassing rates

at NIST: ultra-low outgassing rates Traceable outgassing measurements at NIST: ultra-low outgassing rates and new materials James Fedchak Thermodynamic Metrology Group Sensor Science Division Physical Measurement Laboratory Vacuum Project:

More information

EUV Mask Handling Standards

EUV Mask Handling Standards EUV Mask Handling Standards Scott Hector*, SEMATECH Mask Strategy Program Manager November 2004 * On assignment from Freescale Semiconductor, Inc. Acknowledge: Thomas White Outline Standard for mask frame

More information

The Resistive Plate Chamber detectors at the Large Hadron Collider experiments. Danube School, September 8-13, 2014 Novi Sad

The Resistive Plate Chamber detectors at the Large Hadron Collider experiments. Danube School, September 8-13, 2014 Novi Sad The Resistive Plate Chamber detectors at the Large Hadron Collider experiments Roberto Guida PH-DT-DI Danube School, September 8-13, 2014 Novi Sad Ionization chambers Ionizing particles are producing primary

More information

Lithography Trouble Shooter. Questions and Answers Around the Most Common Problems in

Lithography Trouble Shooter. Questions and Answers Around the Most Common Problems in Lithography Trouble Shooter Questions and Answers Around the Most Common Problems in Micro-Structuring 2014 Dear Reader, The launch of a litho-process from concept to optimized result as well as the running

More information

In-situ Measurement of outgassing from Chemically Amplified Resist during exposure to 248nm light

In-situ Measurement of outgassing from Chemically Amplified Resist during exposure to 248nm light In-situ Measurement of outgassing from Chemically Amplified Resist during exposure to 248nm light Atsushi Sekiguchi, Mriko Isono, Yoshiyuki Kono and Yoshihisa Sensu Litho Tech Japan Corp., 2-6-6 Namiki,

More information

High Ping Rate Profile Water Mode 12

High Ping Rate Profile Water Mode 12 Application Note FSA-014 (October 2008) Revised October 2008 High Ping Rate Profile Water Mode 12 Introduction Water Mode 12 is the result of the continued evolution of the signal processing within our

More information

ThunderClad 3. TU-933 Super Low Loss Material. Laminates & Prepregs Mass Lamination Service Insulated Metal Substrate Materials

ThunderClad 3. TU-933 Super Low Loss Material. Laminates & Prepregs Mass Lamination Service Insulated Metal Substrate Materials ThunderClad 3 TU-933 Super Low Loss Material Laminates & Prepregs Mass Lamination Service Insulated Metal Substrate Materials TUC Product Roadmap 2 ULVP VLP HCF Ultra Low Void Prepreg Very Low Profile

More information

Vacuum and Surface Contamination Problems in Experiments with Ultracold Neutrons

Vacuum and Surface Contamination Problems in Experiments with Ultracold Neutrons Vacuum and Surface Contamination Problems in Experiments with Ultracold Neutrons Reinhold Henneck Paul-Scherrer-Institut, CH-5232 Villigen, Switzerland reinhold.henneck@psi.ch Ultracold neutrons (UCN)

More information

Modeling Approaches to Increase the Efficiency of Clear-Point- Based Solubility Characterization

Modeling Approaches to Increase the Efficiency of Clear-Point- Based Solubility Characterization Modeling Approaches to Increase the Efficiency of Clear-Point- Based Solubility Characterization Paul Larsen, Dallin Whitaker Crop Protection Product Design & Process R&D OCTOBER 4, 2018 TECHNOBIS CRYSTALLI

More information

SPINE workshop Uppsala, Sweden, Jan 17-19, 2011 Spacecraft outgassing: Rosetta results

SPINE workshop Uppsala, Sweden, Jan 17-19, 2011 Spacecraft outgassing: Rosetta results SPINE workshop Uppsala, Sweden, Jan 17-19, 2011 Spacecraft outgassing: Rosetta results B. Schläppi, K. Altwegg, H. Balsiger, M. Hässig, A. Jäckel, P. Wurz, B. Fiethe, M. Rubin, S. A. Fuselier, J. J. Berthelier,

More information

EFFECTS OF SIDEWALL OPENINGS ON THE WIND LOADS ON PIPE-FRAMED GREENHOUSES

EFFECTS OF SIDEWALL OPENINGS ON THE WIND LOADS ON PIPE-FRAMED GREENHOUSES The Seventh Asia-Pacific Conference on Wind Engineering, November 8-12, 29, Taipei, Taiwan EFFECTS OF SIDEWALL OPENINGS ON THE WIND LOADS ON PIPE-FRAMED GREENHOUSES Yasushi Uematsu 1, Koichi Nakahara 2,

More information

D 042 D 062 In-line mounting Operating pressure up to 100 bar Nominal flow rate up to 90 l/min

D 042 D 062 In-line mounting Operating pressure up to 100 bar Nominal flow rate up to 90 l/min Pressure Filters D D 6 In-line mounting Operating pressure up to 1 bar Nominal flow rate up to 9 l/min Description Application In the pressure circuits of hydraulic and lubrication systems. Performance

More information

A decade of EUV resist outgas tes2ng Lessons learned. C. Tarrio, S. B. Hill, R. F. Berg, T. B. Lucatorto NIST, Gaithersburg, MD, USA

A decade of EUV resist outgas tes2ng Lessons learned. C. Tarrio, S. B. Hill, R. F. Berg, T. B. Lucatorto NIST, Gaithersburg, MD, USA A decade of EUV resist outgas tes2ng Lessons learned C. Tarrio, S. B. Hill, R. F. Berg, T. B. Lucatorto NIST, Gaithersburg, MD, USA Outline A lihle history Recent round- robin witness- sample tes2ng results

More information

Advantages of ALEXIT BladeRep

Advantages of ALEXIT BladeRep Advantages of ALEXIT BladeRep Product can be used individually or as a complete system User-friendly packaging for repairs of any size Easy mixing and application Specifically designed for blade repair

More information

Graphite and C-C materials for UHV applications

Graphite and C-C materials for UHV applications Graphite and C-C materials for UHV applications Prepared by J.M. JIMENEZ AT/VAC/SL Section Graphite Outgassing Measurements for UHV Applications Main topics What did we learn from past experiences? Static

More information

Vertical in situ profiles of nitrate and oxygen in the northern Japan Sea

Vertical in situ profiles of nitrate and oxygen in the northern Japan Sea Vertical in situ profiles of nitrate and oxygen in the northern Japan Sea Dmitry D. Kaplunenko, Vyacheslav B. Lobanov, Pavel Ya. Tishchenko and Maria G. Shvetsova V.I.Il'ichev Pacific Oceanological Institute,

More information

Airborne Coherent Wind Lidar measurements of vertical and horizontal wind speeds for the investigation of gravity waves

Airborne Coherent Wind Lidar measurements of vertical and horizontal wind speeds for the investigation of gravity waves Airborne Coherent Wind Lidar measurements of vertical and horizontal wind speeds for the investigation of gravity waves 18 th Coherent Laser Radar Conference, Boulder, CO, USA 27 June to 1 July 2016 Benjamin

More information

CHEMTRACE Fremont Blvd. Fremont, CA 94538, Tel: (510) Fax: (510)

CHEMTRACE Fremont Blvd. Fremont, CA 94538, Tel: (510) Fax: (510) Report Date: 2/12/04 Page 1 of 5 Analysis Requested: Organic Outgassing Analysis by GC-MS at 100 C for 30 minutes. Sample Information: Sample ID: Wafer Carrier Material Samples were received wrapped in

More information

Air Bubble Defects in Dispensing Nanoimprint Lithography

Air Bubble Defects in Dispensing Nanoimprint Lithography Air Bubble Defects in Dispensing Nanoimprint Lithography Abstract We report a theoretical study and dynamic simulation to understand the dynamic behavior of the air bubble defects in Dispensing Nanoimprint

More information

Alphasense Application Note AAN NDIR: Gas Concentration Calculation Overview

Alphasense Application Note AAN NDIR: Gas Concentration Calculation Overview AAN 21-6 NDIR: Gas Concentration Calculation Overview 1. What is an NDIR Sensor? Alphasense IRC-A sensors use the principle of Non-Dispersive Infra-Red (NDIR to determine gas concentration. Each sensor

More information

Pro-Tekt European Cable System. Technical Catalog

Pro-Tekt European Cable System. Technical Catalog European Cable System Technical Catalog High-Performance Cables for Demanding Applications Every cable application is important, and durable, long-lasting equipment requires rugged reliability. Downtime

More information

MEASUREMENTS OF UNDERWATER EXPLOSION PERFORMANCES BY PRESSURE GAUGE USING FLUOROPOLYMER

MEASUREMENTS OF UNDERWATER EXPLOSION PERFORMANCES BY PRESSURE GAUGE USING FLUOROPOLYMER MEASUREMENTS OF UNDERWATER EXPLOSION PERFORMANCES BY PRESSURE GAUGE USING FLUOROPOLYMER Kenji MURATA, Katsuhiko TAKAHASHI, Yukio KATO* NOF Corporation 61-1 Kitakomatsudani, Taketoyo-cho, Chita-gun, Aichi

More information

Technologies for Microassembly: Selected Methods

Technologies for Microassembly: Selected Methods Technologies for Microassembly: Selected Methods 4M 2007 Conference 3-5 October 2007, Borovets, Bulgaria. ASSEMIC Invited Session Micro-joining by hot gas stream Laser based micro-assembly system Contactless

More information

FT28_mks.qxp 21/11/ :06 Page 1

FT28_mks.qxp 21/11/ :06 Page 1 FT28_mks.qxp 21/11/2005 14:06 Page 1 The critical components of a production-worthy ALD system CRITICAL Jon Owyang, Jeff Bailey & Subrata Chatterji, Aviza Technology, Inc., CA, USA ABSTRACT Fundamentally,

More information

High Resolution Sea Surface Roughness and Wind Speed with Space Lidar (CALIPSO)

High Resolution Sea Surface Roughness and Wind Speed with Space Lidar (CALIPSO) High Resolution Sea Surface Roughness and Wind Speed with Space Lidar (CALIPSO) Yongxiang Hu NASA Langley Research Center Carl Weimer Ball Aerospace Corp. 1 CALIPSO Mission Overview CALIPSO seeks to improve

More information

Toray Advanced Film Co., Ltd. Easy Peel Film. CF film for easy to open series 7601 series. Toray Advanced Film, Co., Ltd. 1

Toray Advanced Film Co., Ltd. Easy Peel Film. CF film for easy to open series 7601 series. Toray Advanced Film, Co., Ltd. 1 Toray Advanced Film Co., Ltd. Easy Peel Film CF film for easy to open 9501 series 7601 series Toray Advanced Film, Co., Ltd. 1 Easy opening application Easy Peel Film CF Film Easy opening of lid on plastic

More information

Exercise: Satellite Imagery Analysis. 29 June 2016 Japan Meteorological Agency

Exercise: Satellite Imagery Analysis. 29 June 2016 Japan Meteorological Agency Exercise: Satellite Imagery Analysis 29 June 2016 Japan Meteorological Agency Contents 1. Fog/Stratiform Cloud 2. Cb (Cumulonimbus)/Cg (Cumulus congestus) 3. Upper-level Flow Jet stream, upper trough,

More information

EUVL Optics Contamination from Resist Outgassing; Status Overview

EUVL Optics Contamination from Resist Outgassing; Status Overview Accelerating the next technology revolution EUVL Optics Contamination from Resist Outgassing; Status Overview Kevin Orvek 1, Greg Denbeaux 2, Alin Antohe 2, Rashi Garg 2, Chimaobi Mbanaso 2 1 SEMATECH

More information

CHLOROPHYLL A AND NUTRIENTS FLUXES AND TRANSPORTS IN THE SOUTH PORTUGUESE COAST

CHLOROPHYLL A AND NUTRIENTS FLUXES AND TRANSPORTS IN THE SOUTH PORTUGUESE COAST CHLOROPHYLL A AND NUTRIENTS FLUXES AND TRANSPORTS IN THE SOUTH PORTUGUESE COAST Sara Cardeira, Alexandra Cravo and Paulo Relvas CIMA, FCT, University of Algarve, Campus de Gambelas, 85-139 Faro, PORTUGAL

More information

Explosive Fittings Testing

Explosive Fittings Testing Explosive Fittings Testing BFM Global Ltd. May 2012 EXCELLENCE IS A MINIMUM REQUIREMENT Contents 1. Summary... 3 2. Description of Samples... 4 3. Equipment... 4 4. Test Plan and Methodology... 5 4.1.

More information

KARL SUSS MJB3 MASK ALIGNER STANDARD OPERATING PROCEDURE

KARL SUSS MJB3 MASK ALIGNER STANDARD OPERATING PROCEDURE KARL SUSS MJB3 MASK ALIGNER STANDARD OPERATING PROCEDURE Purpose of this Instrument: This instrument is for patterning photosensitive polymers with UV light. Location: White Hall 410 Cleanroom Primary

More information

5mm Infrared LED EAILP05RDDB1

5mm Infrared LED EAILP05RDDB1 Features High reliability High radiant intensity Peak wavelength λp=940nm 2.54mm Lead spacing Low forward voltage Pb Free This product itself will remain within RoHS compliant version. Description Everlight

More information

Probing the subsurface ocean processes using ocean LIDARS

Probing the subsurface ocean processes using ocean LIDARS Probing the subsurface ocean processes using ocean LIDARS Authors: Robert Arnone (1), Sergio Derada (1), Sherwin Ladner (1), and Charles Trees (2) (1) NRL, Oceanography Division, Stennis Space Center,

More information

PSR-4000 LDI (US) (UL Name: PSR-4000 JA / CA-40 JA)

PSR-4000 LDI (US) (UL Name: PSR-4000 JA / CA-40 JA) PSR-4000 LDI (US) (UL Name: PSR-4000 JA / CA-40 JA) LASER DIRECT IMAGING SOLDER MASK For LDI Exposing Available in Green, Blue, Black, Clear and Red Satin Finish Halogen-Free RoHS Compliant Compatible

More information

Thermo K-Alpha XPS Standard Operating Procedure

Thermo K-Alpha XPS Standard Operating Procedure Thermo K-Alpha XPS Standard Operating Procedure Quick Guide Draft v.0.1 Procedure overview 1. Vent the loadlock 2. Secure your sample to the stage using clips, check the height of the final assembly. 3.

More information

STS Advanced Oxide Etch DRIE System Trends

STS Advanced Oxide Etch DRIE System Trends Date: 7/16/07 STS Advanced Oxide Etch DRIE System A- INTRODUCTION The purpose of this document is to help for process development of the AOE DRIE. This document provides general trends but the actual results

More information

Mid-IR Lasers Market Review and Forecast 2010

Mid-IR Lasers Market Review and Forecast 2010 Mid-IR Market Review and Forecast 2010 Report OM-56 September 2010 Strategies Unlimited 201 San Antonio Circle, Suite 225 Mountain View, California 94040 Phone: (650) 941-3438 Fax: (650) 941-5120 e-mail:

More information

The Effect of Gurney Flap Height on Vortex Shedding Modes Behind Symmetric Airfoils

The Effect of Gurney Flap Height on Vortex Shedding Modes Behind Symmetric Airfoils The Effect of Gurney Flap Height on Vortex Shedding Modes Behind Symmetric Airfoils Daniel R. Troolin 1, Ellen K. Longmire 2, Wing T. Lai 3 1: TSI Incorporated, St. Paul, USA, dan.troolin@tsi.com 2: University

More information

E 212 E 222 Tank top mounting Connection up to G1¼ Nominal flow rate up to 220 l/min

E 212 E 222 Tank top mounting Connection up to G1¼ Nominal flow rate up to 220 l/min Return Filters E E Tank top mounting Connection up to G¼ ominal flow rate up to l/min Description pplication In the return line circuits of hydraulic systems. Performance features Protection against wear:

More information

& Contact Resistance Between Cantilever Type and New MEMS Type Probe Cards

& Contact Resistance Between Cantilever Type and New MEMS Type Probe Cards A A Comparison of Scrub Marks & Contact Resistance Between Cantilever Type and New MEMS Type Probe Cards Jae-Ha Lee Byung-Ho Jo Dr Phicom Corporation May 12, 2003 1 Probe Card Comparison Criteria 1. MEMS

More information

To be used as a coating for potable water tanks and pipes. Independently tested and certified for potable water storage. Other

To be used as a coating for potable water tanks and pipes. Independently tested and certified for potable water storage. Other Approved 31462;9441 1,2 31462 epoxy ^(ValidationDate) 1 Product description This is a two component solvent free amine cured epoxy coating. It is specially designed for drinking water tanks. Can be used

More information

Fabry Perot / PDV Comparison. May 24, 2007

Fabry Perot / PDV Comparison. May 24, 2007 UCRL-CONF-230794 Fabry Perot / PDV Comparison 51 st Annual FUZE Conference May 24, 2007, Chadd May, Roy Hanks, Don Hansen, Tony Whitworth This work was performed under the auspices of the U.S. Department

More information

SAES Packaging service. making innovation happen, together

SAES Packaging service. making innovation happen, together SAES Packaging service MEMS Packaging service description Facility and operations Capabilities and future prospective Choose your type of service : Fast prototyping Process developments Packaging foundry

More information

Resist Outgassing and its Role in Optics Contamination

Resist Outgassing and its Role in Optics Contamination Resist Outgassing and its Role in Optics Contamination Gregory Denbeaux, Rashi Garg, Kim Dean* College of Nanoscale Science and Engineering University at Albany *SEMATECH, Austin, TX Advanced Materials

More information

The smart alternative

The smart alternative The smart alternative Silicone fouling release system > Revolutionary fouling cleaning > For all types of boats > Low friction hempelyacht.co.uk Discover HEMPEL s latest innovation and technological achievement

More information

Bonding Reliability Testing for Wafer Level Packaged MEMS Devices

Bonding Reliability Testing for Wafer Level Packaged MEMS Devices Bonding Reliability Testing for Wafer Level Packaged MEMS Devices Michael Shillinger Vice President of Operations Innovative Micro Technology Santa Barbara, CA, USA mjs@imtmems.com October 20, 2011 Innovative

More information

5 cm. Flash 20 khz. Camera

5 cm. Flash 20 khz. Camera BUBBLE SIZE DISTRIBUTIONS AND STRUCTURES IN ACOUSTIC CAVITATION METTIN R., LUTHER S., LAUTERBORN W. Drittes Physikalisches Institut, Universitat Gottingen Burgerstr. 42-44, D-37073 Gottingen (Germany)

More information

Investigation of changes of the refractive index of glass fragments caused by laser ablation

Investigation of changes of the refractive index of glass fragments caused by laser ablation Investigation of changes of the refractive index of glass fragments caused by laser ablation Dr. Stefan Becker Bundeskriminalamt, Forensic Science Institute Wiesbaden, Germany Investigation of changes

More information

March CS-1701F Reactive Ion Etcher

March CS-1701F Reactive Ion Etcher March CS-1701F Reactive Ion Etcher Standard Operating Procedure Faculty Supervisor: Prof. Robert White, Mechanical Engineering (x72210) Safety Office: Peter Nowak x73246 (Just dial this directly on any

More information

The development of high resolution global ocean surface wave-tidecirculation

The development of high resolution global ocean surface wave-tidecirculation PICES Annual Meeting in Qingdao The development of high resolution global ocean surface wave-tidecirculation coupled model Fangli Qiao, Qi Shu and Bin Xiao First Institute of Oceanography, SOA, China Oct

More information

Savannah S100 ALD at SCIF, UC Merced Standard operating Procedure

Savannah S100 ALD at SCIF, UC Merced Standard operating Procedure This document covers the procedure that should be followed for normal operation of the Cambridge NanoTech: Savannah S100 (Atomic Layer Deposition ALD). This tool is design to be used with whole 4inch wafers.

More information

FTIR Detection of Outgassing Chemicals: Instantaneous and Comprehensive Identification and Quantitation

FTIR Detection of Outgassing Chemicals: Instantaneous and Comprehensive Identification and Quantitation FTIR Detection of Outgassing Chemicals: Instantaneous and Comprehensive Identification and Quantitation John C. Hulteen, Ph.D. Environmental Specialist 3M St. Paul, MN DISKCON 2000 San Jose, CA September

More information

How does an unstable GLV affect the producing zones?

How does an unstable GLV affect the producing zones? 37 th Gas-Lift Workshop Houston, Texas, USA February 3 7, 2014 How does an unstable GLV affect the producing zones? Frode Hveding Vice President Reservoir 2014 Gas-Lift Workshop 1 Agenda Description of

More information

PETF for Durable Cards

PETF for Durable Cards PETF for Durable Cards Delivering Speciality Film Solutions Melinex europe.films@gbr.dupont.com www.dupontteijinfilms.com Why choose Melinex PETF? Melinex Polyester Film has a long history of providing

More information

Ultrasound Dose Calculations

Ultrasound Dose Calculations Ultrasound Dose Calculations One of the advantages of ultrasound therapy remains the reasonably broad range of trials from which effective treatment doses can be established. In principle, there is no

More information