EUV Mask Handling Standards

Size: px
Start display at page:

Download "EUV Mask Handling Standards"

Transcription

1 EUV Mask Handling Standards Scott Hector*, SEMATECH Mask Strategy Program Manager November 2004 * On assignment from Freescale Semiconductor, Inc. Acknowledge: Thomas White

2 Outline Standard for mask frame Standard for restrictions on mask layout to enable handling Standard for mask fiducial marks 2

3 Status of Draft Protection Standards EUV mask enclosure domain Defines a domain volume around the mask form factor within which any enclosure element must fit Draft discussed with several stakeholders and feedback received Mask handling areas Drafted but not yet distributed Handling areas are 5 mm wide regions around the entire substrate edge on both sides, plus entirety of sidewalls. Allows for active end effectors or passive contact points Chuck contact area excluded 3

4 Elements of the draft frame domain standard Physical dimensions of frame domain and region that contact end effectors may occupy are defined. Materials used to construct frame are not specified, but they are agreed upon between user and supplier. Surface of frame must be free of burrs, scores, particles or other discontinuities. Separate standard for test method to be referenced Labels on frame must be engraved. Minimum of four contact points 4

5 Proposed frame area standard D E F Mask Frame domain Arbitrary end effector C LX,Y C B A L Z C L X,Y C B Proposed by SEMATECH A Dimension A B C D E F Value (mm) Same as P37 P mm P mm Same as P37 P mm P mm 5

6 Proposed frame area standard TOP VIEW A SIDE VIEW B E A RETICLE A C D ENCLOSURE A A Separation between reticle side and enclosure side B Maximum width (symmetric in x and y) of enclosure C Separation between reticle active face (down) and enclosure D maximum distance between top of reticle and top of enclosure E maximum height from bottom of enclosure to top of reticle Proposed by Intel 6

7 Requested changes to draft frame standard Physical domain values should be changed Clearance for end effector robot needs to be 2-3 mm, not 0.5mm (dimensions B and E) Height of frame should be 10 mm (instead of 5.5 mm) Minimum number of contact points should be three instead of four 7

8 Layout elements standardized in SEMI P Area for patterns printed on wafer Maximum printable field (4x) 104 by 132 mm (26 by 33 mm at wafer) CL Area reserved for alignment marks, ID marks, and handling (The position of these items are to be negotiated between user and supplier.) CL

9 Elements of the draft handling areas standard Standard applies to all areas on the mask necessary for handling, holding, or contacting the mask, with the exception of the chuck clamping area on the mask back side. Defines location of handling areas Mask handling area materials or surface finishes shall be selected to provide the lowest levels of particle generation and molecular contamination outgassing practicable for manufacturing. Electrical conductivity properties of the mask handling areas shall be agreed upon between the user and supplier. Testing of the mask handling area properties shall be agreed upon between the user and supplier. 9

10 Proposed handling area standard Area reserved for handling Mask 5 mm 5 mm Handling areas on all four sides Plan view of front and back sides of mask Proposed by SEMATECH 10

11 Handling areas survey 5 mm These are the mask sidewalls. A B C This central block is the EUV mask pattern side, with a 5 mm border of handling area in segments. Corner segments (A) are 5 mm x 5 mm; side center segments (B) are 6 mm x 5 mm; and side general segments (C) are 4 mm x 5 mm (there are 17 side general segments between the corner and the side center segments). Backside corner chamfer. D The mask backside edges. Note corners D are the same segment. D 11

12 Handling survey response from Leica Handling areas required for metrology tools in green 12

13 Handling survey response from ASML Reserved for Alignment Reserved for Handling 13

14 Elements of the draft fiducial mark standard This specification details the physical requirements for permanent reference fiducial marks on an EUVL mask substrate Mark must be readable on final mask (e. g. after being coated with films specified in SEMI P38) The minimum number of reference fiducial marks on the mask substrate is four, one proximal to each corner of the substrate. Additional fiducial marks may be placed on the mask by agreement between the user and supplier Dimensions and permissible variations of mark defined Method of creation of the reference fiducial marks on the mask substrate is not defined to allow for innovative methods. The mask substrate must be cleaned of debris, if any, prior to any film depositions during the mask blank operations. The reference fiducial mark must have a proximal locator feature, capable of being recognized by automated equipment. The design of the locator feature shall be agreed upon between the user and supplier. Testing of the reference fiducial marks shall be agreed upon between the user and supplier. 14

15 Proposed fiducial mark standard 19.8 ± 0.1 mm W Marks located near each corner of top surface of mask Corner of mask top surface 5.4 ± 0.1 mm L S W Fiducial mark will be placed in center region. Mark parameter L, Length (long axis) S, Length (short axis) W, Axis width Depth (minimum) Depth (maximum) Size 9 ± 1 µm 7 ± 1 µm 1 ± 0.5 µm 50 nm 100 nm 300 µm Example locator mark 15

16 Summary Standards for mask handling have been proposed, but they need to be refined based on input provided by stakeholders More input on layout areas reserved for handling is requested Input on draft standard concepts is requested Standard for mask fiducial marks has been proposed Input on design, dimensions and location of mark is requested 16

EUVL Optics Contamination from Resist Outgassing; Status Overview

EUVL Optics Contamination from Resist Outgassing; Status Overview Accelerating the next technology revolution EUVL Optics Contamination from Resist Outgassing; Status Overview Kevin Orvek 1, Greg Denbeaux 2, Alin Antohe 2, Rashi Garg 2, Chimaobi Mbanaso 2 1 SEMATECH

More information

(OBPL: Out of Band Protection Layer) Ryuji Onishi, Rikimaru Sakamoto, Noriaki Fujitani, Takafumi Endo, Bang-ching Ho

(OBPL: Out of Band Protection Layer) Ryuji Onishi, Rikimaru Sakamoto, Noriaki Fujitani, Takafumi Endo, Bang-ching Ho The Novel Top-Coat Material for RLS Trade-off reduction in EUVL (: Out of Band Protection Layer) Ryuji Onishi, Rikimaru Sakamoto, Noriaki Fujitani, Takafumi Endo, Bang-ching Ho Semiconductor Materials

More information

Second International Symposium Antwerp, 30 September-2 October Opening. Robert A. Hartman

Second International Symposium Antwerp, 30 September-2 October Opening. Robert A. Hartman Second International Symposium Antwerp, 30 September-2 October 2003 Opening Robert A. Hartman Welcome!! YOU Symposium EUVL Key note address Antwerp Second International Symposium Rob Hartman 2 Welcome!!

More information

IEEE RAS Micro/Nano Robotics & Automation (MNRA) Technical Committee Mobile Microrobotics Challenge 2016

IEEE RAS Micro/Nano Robotics & Automation (MNRA) Technical Committee Mobile Microrobotics Challenge 2016 IEEE RAS Micro/Nano Robotics & Automation (MNRA) Technical Committee Mobile Microrobotics Challenge 2016 OFFICIAL RULES Version 2.0 December 15, 2015 1. THE EVENTS The IEEE Robotics & Automation Society

More information

A decade of EUV resist outgas tes2ng Lessons learned. C. Tarrio, S. B. Hill, R. F. Berg, T. B. Lucatorto NIST, Gaithersburg, MD, USA

A decade of EUV resist outgas tes2ng Lessons learned. C. Tarrio, S. B. Hill, R. F. Berg, T. B. Lucatorto NIST, Gaithersburg, MD, USA A decade of EUV resist outgas tes2ng Lessons learned C. Tarrio, S. B. Hill, R. F. Berg, T. B. Lucatorto NIST, Gaithersburg, MD, USA Outline A lihle history Recent round- robin witness- sample tes2ng results

More information

Our Approaches to EUV Resist Materials. N. Ohshima ELECTRONIC MATERIALS RESEARCH LABORATORIES RESEARCH & DEVELOPMENT MANAGEMENT HEADQUARTERS

Our Approaches to EUV Resist Materials. N. Ohshima ELECTRONIC MATERIALS RESEARCH LABORATORIES RESEARCH & DEVELOPMENT MANAGEMENT HEADQUARTERS Our Approaches to EUV Resist Materials N. Ohshima ELECTRONIC MATERIALS RESEARCH LABORATORIES RESEARCH & DEVELOPMENT MANAGEMENT HEADQUARTERS Page 1 June 2008 Outline 1. Challenges to EUV Resist Materials

More information

Contamination Removal of EUVL masks and optics using 13.5-nm and 172-nm radiation

Contamination Removal of EUVL masks and optics using 13.5-nm and 172-nm radiation Contamination Removal of EUVL masks and optics using 13.5-nm and 172-nm radiation Takeo Watanabe, Kazuhiro Hamamoto and Hiroo Kinoshita University of Hyogo IEUVI Resist & Contamination TWG Oct.19, 2006

More information

EUV Resist Performance under High Stray Light Levels: an Interference Lithography Study

EUV Resist Performance under High Stray Light Levels: an Interference Lithography Study EUV Resist Performance under High Stray Light Levels: an Interference Lithography Study Roel Gronheid 1, Alan M. Myers 1,2, Frieda Van Roey 1, Harun H. Solak 3, Yasin Ekinci 3, Tom Vandeweyer 1, Anne-Marie

More information

Bill Williams FMTC Probe Technology Development Group

Bill Williams FMTC Probe Technology Development Group Slide 1 Expanding Capabilities of Traditional Cantilever Technology To Meet Advanced DRI Fine Pitch Probe Requirements Presenter: Bill Williams, Freescale Semiconductor FMTC Probe Mgr. and Sr. Member Technical

More information

NNCI ETCH WORKSHOP SI DRIE IN PLASMATHERM DEEP SILICON ETCHER. Usha Raghuram Stanford Nanofabrication Facility Stanford, CA May 25, 2016

NNCI ETCH WORKSHOP SI DRIE IN PLASMATHERM DEEP SILICON ETCHER. Usha Raghuram Stanford Nanofabrication Facility Stanford, CA May 25, 2016 NNCI ETCH WORKSHOP SI DRIE IN PLASMATHERM DEEP SILICON ETCHER Usha Raghuram Stanford Nanofabrication Facility Stanford, CA May 25, 2016 PLASMATHERM DEEP SI ETCHER PROCESS PARAMETERS Process Parameters/

More information

Equipment Standard Operating Procedure Greg Allion and Kimberly Appel

Equipment Standard Operating Procedure Greg Allion and Kimberly Appel Date Created: May 3, 2004 Date Modified: June 1, 2005 MA6/BA6 Mask Aligner Equipment Standard Operating Procedure Greg Allion and Kimberly Appel 1. Purpose 1.1. Photolithography involves transferring a

More information

Experimental Characterization of Topography Induced Immersion Bubble Defects

Experimental Characterization of Topography Induced Immersion Bubble Defects Experimental Characterization of Topography Induced Immersion Bubble Defects Michael Kocsis a, Christian Wagner b, Sjoerd Donders b, Tony DiBiase c, Alex Wei d, Mohamed El-Morsi d, Greg Nellis d, Roxann

More information

Resist Outgassing and its Role in Optics Contamination

Resist Outgassing and its Role in Optics Contamination Resist Outgassing and its Role in Optics Contamination Gregory Denbeaux, Rashi Garg, Kim Dean* College of Nanoscale Science and Engineering University at Albany *SEMATECH, Austin, TX Advanced Materials

More information

University of Minnesota Nano Fabrication Center

University of Minnesota Nano Fabrication Center Equipment name: Karl Suss Mask Aligners Badger name: MA6-P Revision number: 0 Model: MA6 Revisionist: Paul Kimani Location: Bay 4 PAN Date: September 17, 2015 1. Introduction The Karl Suss MA6-PAN is a

More information

New Highly Productive Phased Array Ultrasonic Testing Machine for Aluminium Plates for Aircraft Applications

New Highly Productive Phased Array Ultrasonic Testing Machine for Aluminium Plates for Aircraft Applications 19 th World Conference on Non-Destructive Testing 2016 New Highly Productive Phased Array Ultrasonic Testing Machine for Aluminium Plates for Aircraft Applications Christoph HENKEL 1, Markus SPERL 1, Walter

More information

Public Consultation Document

Public Consultation Document Environment and Climate Change Canada Public Consultation Document From February 22 to March 21, 2018 Canadian Wildlife Service PROPOSED SERVICE FEES FOR THE MIGRATORY GAME BIRD HUNTING PERMIT AND CANADIAN

More information

DRAFT. October 17, 2014 File No Mr. Brendhan Zubricki Town Administrator Essex Town Hall 30 Martin Street Essex, MA.

DRAFT. October 17, 2014 File No Mr. Brendhan Zubricki Town Administrator Essex Town Hall 30 Martin Street Essex, MA. GZA GeoEnvironmental, Inc. Engineers and Scientists October 17, 2014 File No. 18.0171857.00 Mr. Brendhan Zubricki Town Administrator Essex Town Hall 30 Martin Street Essex, MA. 01929 DRAFT Re: Essex River

More information

Karl Suss Contact Aligner Operation

Karl Suss Contact Aligner Operation Karl Suss Contact Aligner Operation Roger Robbins 6/31/2008 2 nd ed. 3/12/2009 The University of Texas at Dallas Erik Jonsson Engineering School of Engineering TITLE: Karl Suss Contact Aligner Operation

More information

Re: ENSC 440 Functional Specification for the Wall Climbing Robot. Dear Mr. Leung,

Re: ENSC 440 Functional Specification for the Wall Climbing Robot. Dear Mr. Leung, Patrick Leung School of Engineering Science Simon Fraser University 8888 University Drive Burnaby, BC. V5A 1S6 Date 2/18/08 Re: ENSC 440 Functional Specification for the Wall Climbing Robot Dear Mr. Leung,

More information

Circular Turning Space. 8 Significant Changes to the ICC A

Circular Turning Space. 8 Significant Changes to the ICC A 8 Significant Changes to the ICC A117.1 2017 304.3.1 Circular Turning Space CHANGE TYPE: Modification CHANGE SUMMARY: Within new buildings, the size of a circular turning space has been increased from

More information

SOP for Karl Suss MJB3 #1 Mask Aligner

SOP for Karl Suss MJB3 #1 Mask Aligner SOP for Karl Suss MJB3 #1 Mask Aligner Rev. 5 (30/11/2016) Safety UV Exposure: The high-energy light produced by the high-pressure Mercury Xenon lamp can cause eye damage and skin burns. Be sure that the

More information

MJB4 Mask Aligner Operating Procedure. Effective Date: 07/12/2012 Author(s): Jiong Hua Phone:

MJB4 Mask Aligner Operating Procedure. Effective Date: 07/12/2012 Author(s): Jiong Hua Phone: MJB4 Mask Aligner Operating Procedure Effective Date: 07/12/2012 Author(s): Jiong Hua Phone: 402-472-3773 Email: jhua2@unl.edu 1 1 Introduction 1.1 Key Words Karl Suss MJB4 Mask Aligner, Optical Lithography,

More information

Warnings: Notes: Revised: October 5, 2015

Warnings: Notes: Revised: October 5, 2015 Karl Suss MA6 Mask Aligner Standard Operating Procedure Faculty Supervisor: Prof. Robert White, Mechanical Engineering (x72210) Safety Office: Peter Nowak x73246 (Just dial this directly on any campus

More information

University of MN, Minnesota Nano Center Standard Operating Procedure

University of MN, Minnesota Nano Center Standard Operating Procedure Equipment Name: University of MN, Minnesota Nano Center Deep Trench Etcher Badger Name: deeptrench Revision Number: 9 Model: SLR -770 Sofware Version: CORTEX v4.5 Revisionists: Paul Kimani Location: Bay

More information

Design Workshops Summary of all Feedback January 2017

Design Workshops Summary of all Feedback January 2017 Histon Road Corridor Design Workshops Summary of all Feedback January 2017 CITY DEAL AND GROWTH Commitment to Growth: Government, County, City and District Emerging Local Plans: 33,000 new homes 45,000

More information

Technical information for O-rings

Technical information for O-rings echnical information for O-rings eal effect An O-ring is a sealing element used to reliably seal against fluids and gases. he seal effect is created during installation by axial or radial compression of

More information

Standard Operating Manual

Standard Operating Manual Standard Operating Manual Karl Suss MA6 Mask Aligner Version 1.1 Page 1 of 24 Contents 1. Picture and Location 2. Process Capabilities 2.1 Cleanliness Standard 2.2 Substrate Size 2.3 Photo Mask Size 2.4

More information

Shenandoah County. Department of Fire and Rescue 600 N. Main Street, Suite 109 Woodstock, VA 22664

Shenandoah County. Department of Fire and Rescue 600 N. Main Street, Suite 109 Woodstock, VA 22664 Shenandoah County Department of Fire and Rescue 600 N. Main Street, Suite 109 Woodstock, VA 22664 (540) 459-6167 voice (540) 459-6192 fax fire@shentel.net Display of Aerial Fireworks Indoors Permit Application

More information

University of Minnesota Nano Fabrication Center

University of Minnesota Nano Fabrication Center Equipment name: Karl Suss Mask Aligners Badger name: MA6 & Maba6 Revision number: 4 Models: Revisionist: Paul Kimani Location: Bay 2 Date: April 25, 2013 1. Introduction The Karl Suss MA6 and MABA6 are

More information

Iteration: while, for, do while, Reading Input with Sentinels and User-defined Functions

Iteration: while, for, do while, Reading Input with Sentinels and User-defined Functions Iteration: while, for, do while, Reading Input with Sentinels and User-defined Functions This programming assignment uses many of the ideas presented in sections 6 and 7 of the course notes. You are advised

More information

Characterization and Modeling of Wafer and Die Level Uniformity in Deep Reactive Ion Etching (DRIE)

Characterization and Modeling of Wafer and Die Level Uniformity in Deep Reactive Ion Etching (DRIE) Mat. Res. Soc. Symp. Proc. Vol. 782 24 Materials Research Society A1.2.1 Characterization and Modeling of Wafer and Die Level Uniformity in Deep Reactive Ion Etching (DRIE) Hongwei Sun, Tyrone Hill, Martin

More information

OUTER RACE SHIM SPACERS METRIC OUTER RACE SHIM SPACERS. All Dimensions in Millimeters. Material:300 Series Stainless Steel

OUTER RACE SHIM SPACERS METRIC OUTER RACE SHIM SPACERS. All Dimensions in Millimeters. Material:300 Series Stainless Steel OUTER RACE SHIM SPACERS Material:300 Series Stainless Steel METRIC OUTER RACE SHIM SPACERS All Dimensions in Millimeters Material:300 Series Stainless Steel 6-16 INNER RACE SHAFT SPACERS 3 /32" To 1 /2"

More information

ITU Uniform Rules Juniors U23 Elite Athletes

ITU Uniform Rules Juniors U23 Elite Athletes ITU Uniform Rules Juniors U23 Elite Athletes 1 Approved by the ITU Executive Board on 8th November 2010. INTERNATIONAL TRIATHLON UNION ITU Uniform Rules Applies to all ITU Events for Junior, U23 and Elite

More information

Outgas Testing Update on EUV Light vs. Electron beam

Outgas Testing Update on EUV Light vs. Electron beam Outgas Testing Update on EUV Light vs. Electron beam Toshiya Takahashi, Norihiko Sugie, Kazuhiro Katayama, Isamu Takagi Yukiko Kikuchi, Eishi Shiobara, Hiroyuki Tanaka, Soichi Inoue EUVL Infrastructure

More information

Grippers with Spindle Interface

Grippers with Spindle Interface Weight 0.8 kg.. 3.9 kg Gripping force 70 N.. 1200 N Stroke per finger 4 mm.. 10 mm Layout of the work area in the machining center Example of a handling and machining sequence: 1. Gripper removes blank

More information

Standard Operating Manual

Standard Operating Manual Standard Operating Manual AB-M Mask Aligner Version 1.1 Page 1 of 18 Contents 1. Picture and Location 2. Process Capabilities 2.1 Cleanliness Standard 2.2 Wafer Chuck Selection 2.3 Mask Holder Selection

More information

Introduction to Roadway Design

Introduction to Roadway Design Chapter Introduction to Roadway Design 1 This chapter lays the foundation for the Roadway Design course. You examine the roadway design workflow and the completed drawing files and review the project scope,

More information

Workshop 1: Bubbly Flow in a Rectangular Bubble Column. Multiphase Flow Modeling In ANSYS CFX Release ANSYS, Inc. WS1-1 Release 14.

Workshop 1: Bubbly Flow in a Rectangular Bubble Column. Multiphase Flow Modeling In ANSYS CFX Release ANSYS, Inc. WS1-1 Release 14. Workshop 1: Bubbly Flow in a Rectangular Bubble Column 14. 5 Release Multiphase Flow Modeling In ANSYS CFX 2013 ANSYS, Inc. WS1-1 Release 14.5 Introduction This workshop models the dispersion of air bubbles

More information

Future Trends Internet of Things, Automated Welding and Additive Manufacturing in India. Friction Stir Welding

Future Trends Internet of Things, Automated Welding and Additive Manufacturing in India. Friction Stir Welding Future Trends Internet of Things, Automated Welding and Additive Manufacturing in India Friction Stir Welding Friction Stir Welding in Action Take-up of FSW by Industry 300 280 260 240 220 200 180 160

More information

Warnings: Notes: Revised: January 8,

Warnings: Notes: Revised: January 8, OAI Model 204IR Mask Aligner Standard Operating Procedure Faculty Supervisor: Prof. Robert White, Mechanical Engineering (x72210) Safety Office: Peter Nowak x73246 (Just dial this directly on any campus

More information

# Post Consultation and Submissions Resource Consent Conditions for Surfing Impact Mitigation August 2016

# Post Consultation and Submissions Resource Consent Conditions for Surfing Impact Mitigation August 2016 # Post Consultation and Submissions Resource Consent Conditions for Surfing Impact Mitigation August 2016 Surf Mitigation Management Plan 1 1 Not less than 6 months prior to the commencement of the construction

More information

Taiwan Bicycle Industry Standard

Taiwan Bicycle Industry Standard Taiwan Bicycle Industry Standard TBIS 4210-9 First edition 2016.01.01 Cycles Safety requirements for bicycles Part 9: Saddles and seat post test methods Reference number: TBIS 4210-9:2015 (FDTS) Contents

More information

Overview: Phase 3 Draft Development and Circulation Plans, White Bear Station

Overview: Phase 3 Draft Development and Circulation Plans, White Bear Station Overview: Phase 3 Draft Development and Circulation Plans, White Bear Station This overview explains how to get more involved and provides information on the Phase 3 draft circulation and development plans

More information

Broad Street Bicycle Boulevard City Council Study Session Summary

Broad Street Bicycle Boulevard City Council Study Session Summary Broad Street Bicycle Boulevard City Council Study Session Summary Overview: On August 15 th, 2017, a City Council Study Session was held to invite community input and request City Council guidance on a

More information

TABLE OF CONTENTS International Racquetball Federation officially approved specifications. Copyright 01/13/1983; rev.

TABLE OF CONTENTS International Racquetball Federation officially approved specifications. Copyright 01/13/1983; rev. Racquetball Court Specifications TABLE OF CONTENTS International Racquetball Federation officially approved specifications. Copyright 01/13/1983; rev. 1988; 1997, 2013 SECTION ONE: Performance and Specifications

More information

Off-gassing from III-V Wafer Processing -- A Collaboration of SEMATECH and IMEC

Off-gassing from III-V Wafer Processing -- A Collaboration of SEMATECH and IMEC Off-gassing from III-V Wafer Processing -- A Collaboration of SEMATECH and IMEC CMPUG Spring Meeting Albany, NY April 16, 2015 Hsi-An Kwong, P.E. Alain Pardon Steve Trammell, P.E. SEMATECH IMEC EORM hsi-an.kwong@sematech.org

More information

Investigation of Cr Etch Chamber Seasoning Pavel Nesladek a, Guenther Ruhl b, Marcel Kristlib b

Investigation of Cr Etch Chamber Seasoning Pavel Nesladek a, Guenther Ruhl b, Marcel Kristlib b Investigation of Cr Etch Chamber Seasoning Pavel Nesladek a, Guenther Ruhl b, Marcel Kristlib b a Advanced Mask Technology Center, Dresden, Germany, b Infineon Technologies AG, Mask House, Munich, Germany

More information

Background Statement for SEMI Document 5424A LINE ITEMS REVISION TO SEMI M , SPECIFICATIONS FOR SILICON EPITAXIAL WAFERS

Background Statement for SEMI Document 5424A LINE ITEMS REVISION TO SEMI M , SPECIFICATIONS FOR SILICON EPITAXIAL WAFERS Background Statement for SEMI Document 5424A LINE ITEMS REVISION TO SEMI M62-0912, SPECIFICATIONS FOR SILICON EPITAXIAL WAFERS Notice: This background statement is not part of the balloted item. It is

More information

MIL-STD-883H METHOD EXTERNAL VISUAL

MIL-STD-883H METHOD EXTERNAL VISUAL * EXTERNAL VISUAL 1. PURPOSE. The purpose of this test method is to verify the workmanship of hermetically packaged devices. This test method shall also be utilized to inspect for damage due to handling,

More information

Quartz etch process to improve etch depth linearity and uniformity using Mask Etcher IV

Quartz etch process to improve etch depth linearity and uniformity using Mask Etcher IV Quartz etch process to improve etch depth linearity and uniformity using Mask Etcher IV Sunil Srinivasan *, Jason Plumhoff, Russ Westerman, Dave Johnson, Chris Constantine Unaxis USA, Inc. 10050 16 th

More information

QLDC Council 29 October Report for Agenda Item: 3

QLDC Council 29 October Report for Agenda Item: 3 QLDC Council 29 October 2015 Department: Infrastructure Beach Street Pedestrianisation Purpose Report for Agenda Item: 3 1 The purpose of this report is to obtain Council approval to consult over options

More information

Deep Trench Metrology Challenges for 75nm DRAM Technology Peter Weidner, Alexander Kasic, Thomas Hingst Thomas Lindner, Qimonda, Dresden, Germany

Deep Trench Metrology Challenges for 75nm DRAM Technology Peter Weidner, Alexander Kasic, Thomas Hingst Thomas Lindner, Qimonda, Dresden, Germany Deep Trench Metrology Challenges for 75nm DRAM Technology Peter Weidner, Alexander Kasic, Thomas Hingst Thomas Lindner, Qimonda, Dresden, Germany Abstract: The demand for new DRAM technologies with smaller

More information

DESIGN CODE. Enterprise West Harlow London Road North Design Code 21

DESIGN CODE. Enterprise West Harlow London Road North Design Code 21 DESIGN CODE Enterprise West Essex @ Harlow London Road North Design Code 21 4 MAXIMUM BUILDING HEIGHTS 4.1 Table 4A sets out maximum building height standards for each character area within the LDO boundary.

More information

Approved by Principal Investigator Date: Approved by Super User: Date:

Approved by Principal Investigator Date: Approved by Super User: Date: Approved by Principal Investigator Date: Approved by Super User: Date: Standard Operating Procedure BNC OAI Lithographic Mask Aligner (Aligner 2) Version 2008 October 31 I. Purpose This Standard Operating

More information

Proposal for amendment to Regulation No

Proposal for amendment to Regulation No Proposal for amendment to Regulation No. 117-02 (Uniform provisions concerning the approval of tyres with regard to rolling sound emissions and to adhesion on wet surfaces and/or to rolling resistance)

More information

UltraLo 1800 Alpha Particle Counter

UltraLo 1800 Alpha Particle Counter XIA LLC UltraLo 1800 Alpha Particle Counter Site Requirements & Planning Version: 0.4 Friday, February 15, 2013 Table of Contents I. Site Preparation Tasks... 3 A. Instrument Overview... 3 B. Installation

More information

Standard Operating Manual

Standard Operating Manual Standard Operating Manual Branson IPC 3000 O 2 Asher Copyright 2014 by Hong Kong University of Science & Technology. All rights reserved. Page 1 Contents 1. Picture and Location 2. Process Capabilities

More information

R I T. Title: STS ASE Semiconductor & Microsystems Fabrication Laboratory Revision: Original Rev Date: 01/21/ SCOPE 2 REFERENCE DOCUMENTS

R I T. Title: STS ASE Semiconductor & Microsystems Fabrication Laboratory Revision: Original Rev Date: 01/21/ SCOPE 2 REFERENCE DOCUMENTS Approved by: Process Engineer / / / / Equipment Engineer 1 SCOPE The purpose of this document is to detail the use of the STS ASE. All users are expected to have read and understood this document. It is

More information

3 FRAMEWORK FOR IMPLEMENTATION OF LAKE-TO-LAKE CYCLING ROUTE

3 FRAMEWORK FOR IMPLEMENTATION OF LAKE-TO-LAKE CYCLING ROUTE 3 FRAMEWORK FOR IMPLEMENTATION OF LAKE-TO-LAKE CYCLING ROUTE The Planning and Economic Development Committee recommends the adoption of the recommendations contained in the following report dated December

More information

3 THE ARENA 3.1 OVERVIEW Dimensions and Tolerances. [This section includes all modifications through Team Update 10]

3 THE ARENA 3.1 OVERVIEW Dimensions and Tolerances. [This section includes all modifications through Team Update 10] 3 THE ARENA [This section includes all modifications through Team Update 10] 3.1 OVERVIEW Note: The animated pictures in this section of the manual are for a general visual understanding of the field only.

More information

EUROPEAN pr I-ETS TELECOMMUNICATION September 1995 STANDARD

EUROPEAN pr I-ETS TELECOMMUNICATION September 1995 STANDARD INTERIM DRAFT EUROPEAN pr I-ETS 300 671 TELECOMMUNICATION September 1995 STANDARD Source: ETSI TC-TM Reference: DI/TM-01029 ICS: 33.180.20 Key words: Optical transmission, optical connectors Transmission

More information

OXFORD PLASMALAB 80PLUS (CLOEY)

OXFORD PLASMALAB 80PLUS (CLOEY) Arizona State University NanoFab OXFORD PLASMALAB 80PLUS (CLOEY) Rev D Table of Contents Contents Table of Contents...1 1. Purpose / Scope...2 2. Reference Documents...2 3. Equipment / Supplies / Material...2

More information

Advanced Technology Center. Vacuum Optics. Mark Sullivan December 2, Vacuum Optics 1

Advanced Technology Center. Vacuum Optics. Mark Sullivan December 2, Vacuum Optics 1 Advanced Technology Center Vacuum Optics Mark Sullivan December 2, 2006 Vacuum Optics 1 Outline System design approach Specific steps to build successful vacuum optics ASTM E 1559 outgassing test Resources

More information

Sports Ground Sponsorship Naming Policy

Sports Ground Sponsorship Naming Policy Policy ID no: DSD 11-CL Sports Ground Sponsorship Naming Policy Date Authorised by Chief Executive Officer or Council: 10 June 2015 Commencement Date: 10 June 2015 Review Date (10 years from authorised

More information

Conductive Materials in Electronics Packaging. Radesh Jewram The Bergquist Company Chanhassen, MN

Conductive Materials in Electronics Packaging. Radesh Jewram The Bergquist Company Chanhassen, MN Emerging Trends for Thermally Conductive Materials in Electronics Packaging Radesh Jewram The Bergquist Company Chanhassen, MN Outline Introduction Low outgassing and Silicone sensitive applications Liquid

More information

Managing the TSR lifecycle

Managing the TSR lifecycle Table of Contents MANAGING THE TSR LIFECYCLE... 1 OVERVIEW... 2 LIFECYCLE FOR PLANNED TSRS... 2 TYPICAL WORKFLOW (PLANNED TSRS)... 3 LIFECYCLE FOR UNPLANNED TSRS... 5 TYPICAL WORKFLOW (UNPLANNED TSRS)...

More information

STMicroelectronics LISY300AL Single-Axis Analog Yaw Rate Gyroscope

STMicroelectronics LISY300AL Single-Axis Analog Yaw Rate Gyroscope Single-Axis Analog Yaw Rate Gyroscope MEMS Process Review For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor technology, please

More information

2.830J / 6.780J / ESD.63J Control of Manufacturing Processes (SMA 6303) Spring 2008

2.830J / 6.780J / ESD.63J Control of Manufacturing Processes (SMA 6303) Spring 2008 MIT OpenCourseWare http://ocw.mit.edu 2.830J / 6.780J / ESD.63J Control of Manufacturing Processes (SMA 6303) Spring 2008 For information about citing these materials or our Terms of Use, visit: http://ocw.mit.edu/terms.

More information

122 Avenue: 107 Street to Fort Road

122 Avenue: 107 Street to Fort Road : 107 Street to Fort Road November 24, 2015 4:30 8:00 p.m. Meeting Purpose Summarize project work completed to date Share results of public input from Phase 1 Share the draft concept plan and proposed

More information

Operation of the mask aligner MJB-55

Operation of the mask aligner MJB-55 John Paul Adrian Glaubitz Operation of the mask aligner MJB-55 Department of Physics Faculty of Mathematics and Natural Sciences University of Oslo 1 Introduction The mask aligner is an essential tool

More information

ARENA SYSTEMS TO SUIT YOUR SPORT

ARENA SYSTEMS TO SUIT YOUR SPORT Introduction Introduction ARENA SYSTEMS TO SUIT YOUR SPORT ROCKLYN PROVIDE A FULL SERVICE FROM SURVEY, DESIGN, GROUNDWORKS, INSTALLATION AND MAINTENANCE SERVICE. Now more than ever, outdoor sports facilities

More information

Toronto Sidewalk Café Manual

Toronto Sidewalk Café Manual Toronto Sidewalk Café Manual SEPTEMBER 2015. TRANSPORTATION SERVICES. PUBLIC REALM SECTION FALL 2015 PROJECT UPDATE Project Overview the project THE MANUAL Describes the requirements for the design of

More information

Layout Design II. Lecture Fall 2003

Layout Design II. Lecture Fall 2003 Layout Design II Lecture 6 18-322 Fall 2003 Roadmap Today: Layout Verification & design in the large Next week: Transistor sizing Wires Homework 1: Due Today Homework 2: Out Today, Due Sept 18 Lab 2: This

More information

nearfield High-Throughput Atomic Force Microscopy for semiconductor metrology applications I N S T R U M E N T S

nearfield High-Throughput Atomic Force Microscopy for semiconductor metrology applications I N S T R U M E N T S nearfield I N S T R U M E N T S High-Throughput Atomic Force Microscopy for semiconductor metrology applications Roland van Vliet (CEO) E-mail: roland.vanvliet@nearfieldinstruments.com Tel. +31-6-20 36

More information

ISO INTERNATIONAL STANDARD

ISO INTERNATIONAL STANDARD INTERNATIONAL STANDARD ISO 9073-16 First edition 2007-12-01 Textiles Test methods for nonwovens Part 16: Determination of resistance to penetration by water (hydrostatic pressure) Textiles Méthodes d'essai

More information

PARATRIATHLON UNIFORM RULES

PARATRIATHLON UNIFORM RULES PARATRIATHLON UNIFORM RULES 1. Overview: 1.1. To compete in ITU events, athletes must comply with the ITU Uniform Rules. 1.2. The images in this document are reproducing a typical triathlon uniform. For

More information

CESA Sustainability Guideline Document and Reporting Process Checklist

CESA Sustainability Guideline Document and Reporting Process Checklist CESA Sustainability Guideline Document and Reporting Process Checklist Lee Scott, Wal-Mart CEO: Sustainability is the single biggest business opportunity of the 21 st century.it will be the next source

More information

What's New in VoluMill 7.0/7.1

What's New in VoluMill 7.0/7.1 What's New in VoluMill 7.0/7.1 Proprietary and restricted rights notice This software and related documentation are proprietary to Celeritive Technologies Inc. 2015 Celeritive Technologies Inc. All Rights

More information

Freescale Semiconductor MMA6222AEG ±20/20g Dual-Axis Medium-g Micromachined HARMEMS Accelerometer

Freescale Semiconductor MMA6222AEG ±20/20g Dual-Axis Medium-g Micromachined HARMEMS Accelerometer Freescale Semiconductor MMA6222AEG ±20/20g Dual-Axis Medium-g Micromachined HARMEMS Accelerometer MEMS Process Review For comments, questions, or more information about this report, or for any additional

More information

EASTERN PENNSYLVANIA YOUTH SOCCER STATE CUP RULES AND REGULATIONS Part of the US Youth Soccer National Championship Series (NCS)

EASTERN PENNSYLVANIA YOUTH SOCCER STATE CUP RULES AND REGULATIONS Part of the US Youth Soccer National Championship Series (NCS) 1. Team and Player Eligibility EASTERN PENNSYLVANIA YOUTH SOCCER STATE CUP RULES AND REGULATIONS Part of the US Youth Soccer National Championship Series (NCS) 1.1. This competition is governed by the

More information

Index. Batch processing 56 Branching xiii 52 62

Index. Batch processing 56 Branching xiii 52 62 Index A Accessibility electronic 40 105 of emergency operating procedures 85 of procedures 15 32 Accidental chemical release xiii Administrative procedures xiii 27 Alignment 75 American Petroleum Institute

More information

Eugene s Strategic Pedestrian and Bicycle Plan

Eugene s Strategic Pedestrian and Bicycle Plan Eugene s Strategic Pedestrian and Bicycle Plan Moving Beyond Infrastructure: Planning for Action City of Eugene Public Works Engineering Pro Walk / Pro Bike Seattle 2008 www.eugene-or.gov/walkbike Agenda

More information

Water for Immersion Lithography

Water for Immersion Lithography Water for Immersion Lithography M. Switkes, V. Liberman, and M. Rothschild Lincoln Laboratory Massachusetts Institute of Technology Lexington, MA 02420 Outline Water treatment The symptoms Differences

More information

STS ICP-RIE. Scott Munro (2-4826,

STS ICP-RIE. Scott Munro (2-4826, STS ICP-RIE LOCATION: Plasma Etch Area PRIMARY TRAINER: Scott Munro (2-4826, email@address.com) 1. OVERVIEW The STS ICP-RIE is available to users who require deep anisotropic silicon etching with near

More information

Global EHS Powered Industrial Truck and Pedestrian Safety

Global EHS Powered Industrial Truck and Pedestrian Safety Global EHS Powered Industrial Truck and Pedestrian Safety Control No: Policy Name: Powered Industrial Truck and Pedestrian Safety Policy Responsible Corporate Function/Business Segment: Global Environmental

More information

OBJECTIVE 22: EMERGENCY WORKERS, EQUIPMENT, AND VEHICLES - MONITORING AND DECONTAMINATION

OBJECTIVE 22: EMERGENCY WORKERS, EQUIPMENT, AND VEHICLES - MONITORING AND DECONTAMINATION OBJECTIVE Demonstrate the adequacy of procedures for the monitoring and decontamination of emergency workers, equipment, and vehicles. INTENT This objective is derived from NUREG-0654 which provides that

More information

ISAF In-House Certification

ISAF In-House Certification ISAF In-House Certification IOM Sail Training Syllabus The ISAF has developed 3 IOM training syllabus and courses as follows:- Sail Rig Hull and Appendage This paper details the syllabus for Sail training

More information

Sample Procurement Specifications for Aluminum Traffic Control Signs and Components

Sample Procurement Specifications for Aluminum Traffic Control Signs and Components Sample Procurement Specifications for Aluminum Traffic Control Signs and Components These sample procurement Specifications were prepared by the American Traffic Safety Services Association (ATSSA) Sign

More information

THIS MANUAL HAS BEEN PREPARED FOR THE PURPOSE OF ASSISTING IN THE INSTALLATION AND MAINTENANCE OF THE MINIMAX GOLF HANDICAPPING SYSTEM.

THIS MANUAL HAS BEEN PREPARED FOR THE PURPOSE OF ASSISTING IN THE INSTALLATION AND MAINTENANCE OF THE MINIMAX GOLF HANDICAPPING SYSTEM. THIS MANUAL HAS BEEN PREPARED FOR THE PURPOSE OF ASSISTING IN THE INSTALLATION AND MAINTENANCE OF THE MINIMAX GOLF HANDICAPPING SYSTEM. WE URGE YOU TO READ THE MATERIAL CAREFULLY AND THEN FILE IT IN A

More information

Styal Golf Course Accommodation Works Environmental Statement Non-Technical Summary 1007/6.15.2/169

Styal Golf Course Accommodation Works Environmental Statement Non-Technical Summary 1007/6.15.2/169 Styal Golf Course Accommodation Works Non-Technical Summary 1007/6.15.2/169 September 2013 Document Control Sheet Project Title: Styal Golf Course Report Title: Non Technical Summary Document No: 1032775.014.001

More information

RULE 1. Facilities and Equipment

RULE 1. Facilities and Equipment RULE 1 Facilities and Equipment 1.1 Playing Area The playing area includes the playing court and the free zone. (See Figure 1.) The entire playing area must be visible to all team members and officials.

More information

Advanced pre and post-processing in Windsim

Advanced pre and post-processing in Windsim University of Perugia Department of Industrial Engineering Francesco Castellani Advanced pre and post-processing in Windsim CONTENTS Pre-processing 1) Domain control: *.gws construction 2) Advanced grid

More information

AGENDA REPORT. Issue: Discussion of potential improvements on Barnwell Road at Niblick Drive

AGENDA REPORT. Issue: Discussion of potential improvements on Barnwell Road at Niblick Drive AGENDA REPORT To: From: By: Honorable Mayor and City Council Members Warren Hutmacher, City Manager Tom Black, Public Works Director Date: March 23, 2016 Agenda: March 28, 2016 WORK SESSION AGENDA: Barnwell

More information

Outgassing Measurements on EA934NA Coating. Prepared for:

Outgassing Measurements on EA934NA Coating. Prepared for: OSI Outgassing Services International 2224-H Old Middlefield Way, Mountain View, CA 94043 USA Phone +1 650 960 1390 Fax +1 650 960 1388 garrett@osilab.com -3(8/21) Outgassing Measurements on EA934NA Coating

More information

Thermo K-Alpha XPS Standard Operating Procedure

Thermo K-Alpha XPS Standard Operating Procedure Thermo K-Alpha XPS Standard Operating Procedure Quick Guide Draft v.0.1 Procedure overview 1. Vent the loadlock 2. Secure your sample to the stage using clips, check the height of the final assembly. 3.

More information

General Requirements of the NEC Advanced Course. Building Officials and Inspectors Educational Association

General Requirements of the NEC Advanced Course. Building Officials and Inspectors Educational Association General Requirements of the NEC Advanced Course Building Officials and Inspectors Educational Association General Requirements -NEC Course Outcome Explain general requirements found in Article 110 of the

More information

INTERNATIONAL STANDARD

INTERNATIONAL STANDARD INTERNATIONAL STANDARD ISO 10464 First edition 2004-08-15 Gas cylinders Refillable welded steel cylinders for liquefied petroleum gas (LPG) Periodic inspection and testing Bouteilles à gaz Bouteilles rechargeables

More information

APPENDIX J HYDROLOGY AND WATER QUALITY

APPENDIX J HYDROLOGY AND WATER QUALITY APPENDIX J HYDROLOGY AND WATER QUALITY J-1 Technical Report on Airport Drainage, Northern Sector Airport and Ordinance Creek Watershed / Preliminary Creek Constructed Natural Channel Culvert J-2 Preliminary

More information

Cycle traffic and the Strategic Road Network. Sandra Brown, Team Leader, Safer Roads- Design

Cycle traffic and the Strategic Road Network. Sandra Brown, Team Leader, Safer Roads- Design Cycle traffic and the Strategic Road Network Sandra Brown, Team Leader, Safer Roads- Design Highways England A Government owned Strategic Highways Company Department for Transport Road Investment Strategy

More information

g) feeds: basic feed, multi feeds, additional feeds, audio feed, data feed and unilateral coverage

g) feeds: basic feed, multi feeds, additional feeds, audio feed, data feed and unilateral coverage 2014 FIFA World Cup and Other FIFA Events 2012-2014 Summary of rights, obligations & restrictions (v. 03.12) Television & Radio A Introduction This document outlines the television, radio and ancillary

More information