STS ICP-RIE. Scott Munro (2-4826,

Size: px
Start display at page:

Download "STS ICP-RIE. Scott Munro (2-4826,"

Transcription

1 STS ICP-RIE LOCATION: Plasma Etch Area PRIMARY TRAINER: Scott Munro (2-4826, 1. OVERVIEW The STS ICP-RIE is available to users who require deep anisotropic silicon etching with near vertical sidewalls using Bosch and unswitched RIE processes. The Bosch process is a two step process that alternates between an etching step and a deposition step, and is repeated until the desired depth is achieved. The sidewalls are not perfectly smooth, however, and a noticeable scalloping along the sidewalls is observed. An unswitched recipe introduces the etch and deposition gases simultaneously, giving a scallop free sidewalls, but is limited to relatively shallow etch depths. 1

2 2. SAFETY PRECAUTIONS There is potential for harmful gases to form during the etch process. Both the process chamber and the loadlock are purged with Nitrogen and vented to minimize this hazard. Ensure that the loadlock is fully is fully vented before opening the door. The process chamber is heated to upwards of 150 o C. There is a heat shield covering the bottom, but there are still areas of the chamber that are hot. Avoid touching. Reflected power occurs when power is not fully transferred from the source to the plasma. A set of capacitors automatically adjust to minimize the reflected power. Running the plasma for an extended time with high reflected power may permanently damage the system. If the capacitors fail to minimize the reflected power and remains consistently above 10% the RF power, stop processing immediately, and contact NanoFab staff. The STS uses advanced software, and will stop processing if one or more etch parameters becomes out of tolerance. Users are not allowed to circumvent these set tolerances. If you are bringing any new materials into the NanoFab for use in your process, it is necessary to fill out a chemical import form (available on our website, and supply an MSDS data sheet to Stephanie Bozic. 3. PROCESS COMPONENTS OR FEATURES Wafers should be prepared with an etch mask appropriate to withstand the duration of the etch. For shallow etches, a photoresist mask may be suitable; for deep etches, a hard mask, such as metal or an oxide, may be required. Photoresist etch masks may be difficult to remove after etching. Acetone and IPA rinses may remove the bulk of the resist, but an ashing with an oxygen plasma may be required to completely remove the resist. There may also be residual polymer on the wafer surface, which may also be removed with an oxygen plasma, or, as a last resort, a commercially available polymer removal solution is available. Please contact the appropriate trainers if interested in the above processes. 4. OPERATING INSTRUCTIONS 4.1 It is recommended that the chamber is pre-conditioned prior to processing device wafers. This is done using a clean, bare silicon wafer and processing for 25 cycles on the Bosch etch labeled condition in the software. The conditioning wafer must be a piranha cleaned wafer, and when processed with the above recipe, the wafer should appear similar to what it looked like before processing (ie. shiny and reflective). Do not continue processing if the conditioning wafer appears different, is hazy or has a coloured film on the surface. These may indicate a problem with the process. Contact NanoFab staff for further assistance. 4.2 The operating software should be running and the screen below on display. The system should be in Active mode; ensure this mode is selected before processing. If not, click the Mode button, select Active mode, and press Change. The system will undergo a series of check before active mode is displayed. 2

3 Process control window Loadlock control window System Mode Mode Change Operating Software 4.3 Sample Loading - In the Loadlock Control window, press the Vent button to vent the loadlock. Wait until the chamber is fully vented before opening the loadlock door. The door will open slightly on its own, do not force it open. 4.4 Load the wafers into either slot, and note the position of each wafer (the holders are marked 1 or 2). For wafer to wafer consistency, line up the wafer flats with the notches on the centre of the carousel. Close the chamber and do up the latch. Press the Pump and Map button on the Loadlock Control window. This will evacuate the loadlock chamber and a sensor will detect which position(s) the wafer(s) are in. Active Slot # Vacuum Control Pump/Vent Transfer Window 3

4 4.5 Once base pressure has been reached (<80mT), the first wafer may be loaded. Click the slot # and enter in the position of the wafer which is to be processed. Load the conditioning wafer if performing the chamber clean. When the desired slot # is entered, press the Load button to load the wafer into the process chamber. 4.6 Recipe Selection and Modification Press the Recipe button in the Process Control window to load the recipe edit screen. Exit Open Save Save As # of Cycles Recipe Window 4.7 Press the Recipe Open button to open a list of standard recipes. Select the desired recipe, and click OK. 4.8 Each recipe will have a minimum of two steps; the first is a Standby step, where base conditions and stabilization times are set; and a second step, which is the actual etch step. Select the second step to view etch parameters. 4.9 Refer to the STS ICP-RIE information sheet located by the tool for processing information, including etch rate, selectivity, uniformity of select materials as a starting point for your process. Etch information will vary between different processes and is dependant on a number of parameters, so please use the information as a guideline only. If this is the first etch, it is recommended that the process be ran on a test wafer to determine your own etching parameters In the Cycles cell, enter the desired number of cycles. If using the unswitched recipe, enter the process time. For the condition recipe, ensure the time is set to 25 cycles. Press the Save button and close the Recipe Window by clicking the Exit button. 4

5 Note: Any changes other than process time are only to be performed by staff and advanced users. If you are neither and would like to make further changes to standard recipes, or develop your own, please contact a trainer. The NanoFab does encourage further development of its tools. When making changes other than time or cycles, ensure to save as a unique name Sample Processing At this point, a wafer should be loaded in the process chamber and a recipe edited and saved. To begin the process, press the Select button to load the list of recipes, select the desired recipe, and press Process. The recipe will be loaded (and should be displayed as such on the Process Control window), and the process will begin. It will be a few minutes before the plasma is struck as the system undergoes a series of checks and stabilization time. ICP Power -Forward -Reflected Gas settings RF Power -Forward -Reflected Loaded recipe display Process status Select and Process Process Control Window Blue = setpoint, yellow = actual Process step display 4.12 Record process information in the logbook, including any error messages or processing issues. If the process needs to be stopped during a run, press the Abort button. Any system errors are to be reported to NanoFab staff. 5

6 4.13 Unload and Shutdown When the process is finished, Process Complete will be displayed in the Status window. Press the Unload button the transfer the wafer from the process chamber to the loadlock. Visually inspect the wafer to ensure processing is complete 4.14 If a second wafer is to be processed, refer back to section If processing is complete, remove wafers, close the chamber door, and click the Pump Only button in the Transfer window to put the machine in standby mode. 5. TROUBLESHOOTING A common error is the He leak up rate (He LUR) out of tolerance error. This usually occurs during the initialization step of a process where the wafer is tested to ensure there is good contact between the wafer and the cooled chuck. The wafer is loaded onto and o-ring and held down by eight ceramic fingers, and He is flowed between the wafer and the chuck. If there is a poor seal between the wafer and the o-ring, the LUR will exceed tolerance. Users should ensure that wafers are thoroughly cleaned before processing; both front and back-side; and if using a scribe to mark wafers, mark only in the centre of the wafer. If you encounter an unexpected error or require assistance please contact the primary or secondary trainer listed above. Should they not be available, please contact any staff member for assistance. 6. APPROVAL QUALIFIED TRAINER: Scott Munro TRAINING COORDINATOR: Stephanie Bozic 6

R I T. Title: STS ASE Semiconductor & Microsystems Fabrication Laboratory Revision: Original Rev Date: 01/21/ SCOPE 2 REFERENCE DOCUMENTS

R I T. Title: STS ASE Semiconductor & Microsystems Fabrication Laboratory Revision: Original Rev Date: 01/21/ SCOPE 2 REFERENCE DOCUMENTS Approved by: Process Engineer / / / / Equipment Engineer 1 SCOPE The purpose of this document is to detail the use of the STS ASE. All users are expected to have read and understood this document. It is

More information

University of MN, Minnesota Nano Center Standard Operating Procedure

University of MN, Minnesota Nano Center Standard Operating Procedure Equipment Name: University of MN, Minnesota Nano Center Deep Trench Etcher Badger Name: deeptrench Revision Number: 9 Model: SLR -770 Sofware Version: CORTEX v4.5 Revisionists: Paul Kimani Location: Bay

More information

PLASMA ENHANCED CHEMICAL VAPOR DEPOSITION (PECVD) SOP OXFORD PLASMALAB SYSTEM 100

PLASMA ENHANCED CHEMICAL VAPOR DEPOSITION (PECVD) SOP OXFORD PLASMALAB SYSTEM 100 PLASMA ENHANCED CHEMICAL VAPOR DEPOSITION (PECVD) SOP OXFORD PLASMALAB SYSTEM 100 June 2013 Interface Overview. The Oxford software is divided into 5 main screens. 1) Pump Control page 2) Recipe page 3)

More information

Plasma-Therm PECVD. Operating Characteristics. Operating Instructions. Typical Processes. I. Loading. II. Operating

Plasma-Therm PECVD. Operating Characteristics. Operating Instructions. Typical Processes. I. Loading. II. Operating Plasma-Therm PECVD A PECVD (plasma enhanced chemical vapor deposition) reacts gases in a RF (radio frequency) induced plasma to deposit materials such as silicon dioxide and silicon nitride. This PECVD

More information

Unaxis ICP/RIE SOP Revision 8 09/30/16 Page 1 of 5. NRF Unaxis ICP/RIE Etch SOP

Unaxis ICP/RIE SOP Revision 8 09/30/16 Page 1 of 5. NRF Unaxis ICP/RIE Etch SOP Page 1 of 5 NRF Unaxis ICP/RIE Etch SOP Unaxis Shuttlelock Reactive Ion Etcher with Inductively Coupled Plasma Module. Etch Capabilities: SiO2, Si3N4, Al, dielectrics and other commonly used materials.

More information

STS PECVD Instructions

STS PECVD Instructions STS PECVD Instructions I. Introduction A PECVD (Plasma Enhanced Chemical Vapor Deposition) reacts gases in a RF- (Radio Frequency) - induced plasma to deposit materials such as SiO 2 and Si X N Y. This

More information

Usage Policies Notebook for STS DRIE System

Usage Policies Notebook for STS DRIE System Usage Policies Notebook for STS DRIE System Revision date September 2014 2 Emergency Plan for STS DRIE System Standard Operating Procedures for Emergencies Contact information Person Lab Manager Director

More information

Basic ICP Operating Procedures

Basic ICP Operating Procedures Center for High Technology Materials 2 February, 2009 University of New Mexico Created by Beth Fuchs Basic ICP Operating Procedures INTRODUCTION: The ICP is an inductively coupled plasma etching system,

More information

Usage Policies Notebook for Xenon Difluoride (XeF 2 ) Isotropic Si Etch

Usage Policies Notebook for Xenon Difluoride (XeF 2 ) Isotropic Si Etch Usage Policies Notebook for Xenon Difluoride (XeF 2 ) Isotropic Si Etch Revision date September 2014 2 Emergency Plan for XeF 2 Si Etcher Standard Operating Procedures for Emergencies Contact information

More information

March CS-1701F Reactive Ion Etcher

March CS-1701F Reactive Ion Etcher March CS-1701F Reactive Ion Etcher Standard Operating Procedure Faculty Supervisor: Prof. Robert White, Mechanical Engineering (x72210) Safety Office: Peter Nowak x73246 (Just dial this directly on any

More information

Standard Operating Manual

Standard Operating Manual NANOELECTRONICS FABRICATION FACILITY (NFF), HKUST Standard Operating Manual STS ICP-DRIE Copyright 2014 by Hong Kong University of Science & Technology. All rights reserved. Page 1 NANOELECTRONICS FABRICATION

More information

Notes-PECVD: Chamber 1

Notes-PECVD: Chamber 1 plasmatherm (EML) STANDARD OPERATING PROCEDURE CORAL Name: Plasmatherm Model Shuttlelock System VII SLR-770/734 Number: Location: EML What it Deposits the following films via Plasma-Enhanced Chemical Vapor

More information

Unaxis PECVD. SiH4 (5% in He)

Unaxis PECVD. SiH4 (5% in He) Unaxis PECVD Table of Contents: I: Introduction II: Machine Specifications III: System Components IV: Deposited Materials and Precursor Gases V: Operating Instructions VI: Creating a Recipe VII: Troubleshooting

More information

University of Minnesota, MN Nano Center Standard Operating Procedure

University of Minnesota, MN Nano Center Standard Operating Procedure Equipment Name: HDPCVD Revision Number: 2 Badger Name: HDPCVD Revisionist: L. von Dissen Model: Advanced Vacuum Date: 10/25/2016 Apex SLR ICP Location: PAN, Bay 3 1 Description The Apex SLR ICP is a high

More information

Standard Operating Manual

Standard Operating Manual Standard Operating Manual ARC12M Sputter Copyright 11.2015 by Hong Kong University of Science & Technology. All rights reserved. Page 1 Contents 1. Picture and Location 2. Process Capabilities 2.1 Cleanliness

More information

Arizona State University Center for Solid State Electronic Research. Table of Contents. Issue: C Title: Oxford Plasmalab 80plus (Floey) Page 1 of 8

Arizona State University Center for Solid State Electronic Research. Table of Contents. Issue: C Title: Oxford Plasmalab 80plus (Floey) Page 1 of 8 Title: Oxford Plasmalab 80plus (Floey) Page 1 of 8 Table of Contents 1.0 Purpose/Scope... 2 2.0 Reference Documents... 2 3.0 Equipment/Supplies/Material... 2 4.0 Safety... 2 5.0 Set Up Procedures... 2

More information

Xactix XeF2 OPERATION MANUAL

Xactix XeF2 OPERATION MANUAL General Information The Xactix e-1 is a xenon difluoride (XeF 2) isotropic silicon etcher. XeF 2 is a vapor phase etch, which exhibits very high selectivity of silicon to photo-resist, silicon dioxide,

More information

Standard Operating Manual

Standard Operating Manual Standard Operating Manual Oxford Plasmalab 80 Plus Plasma Etcher Page 1 of 24 Contents 1. Picture and Location 2. Process Capabilities 2.1 Cleanliness Standard 2.2 Available Etching Materials 2.3 Performance

More information

OXFORD PLASMALAB 80PLUS (CLOEY)

OXFORD PLASMALAB 80PLUS (CLOEY) Arizona State University NanoFab OXFORD PLASMALAB 80PLUS (CLOEY) Rev D Table of Contents Contents Table of Contents...1 1. Purpose / Scope...2 2. Reference Documents...2 3. Equipment / Supplies / Material...2

More information

Usage Policies Notebook for Trion RIE / ICP Dry Etch

Usage Policies Notebook for Trion RIE / ICP Dry Etch Usage Policies Notebook for Trion RIE / ICP Dry Etch Revision date September 2014 2 Emergency Plan for Trion RIE/ICP Dry Etch Standard Operating Procedures for Emergencies Contact information Person Lab

More information

Arizona State University NanoFab PLASMATHERM 790 RIE. Version A

Arizona State University NanoFab PLASMATHERM 790 RIE. Version A rizona State University NanoFab PLSMTHERM 790 RIE Version SU NanoFab Title: PLSMTHERM 790 RIE Table of Contents Contents Table of Contents...1 1. Purpose / Scope...2 2. Reference Documents...2 3. Equipment

More information

STS DRIE User SOP Location: NRF Cleanroon, Dry Etch Bay

STS DRIE User SOP Location: NRF Cleanroon, Dry Etch Bay Page 1 of 20 STS DRIE User SOP Location: NRF Cleanroon, Dry Etch Bay Page 2 of 20 STS DRIE SOP The STS DRIE is an Inductively Coupled Plasma Process etcher designed and configured to etch deep high aspect

More information

Xactix Xenon Difluoride Etcher

Xactix Xenon Difluoride Etcher Xactix Xenon Difluoride Etcher 1 Introduction This tool is a Xactix e1 series XeF2 (Xenon Difluoride) based vapor phase etch system for isotropic and selective silicon etching. The XeF2 reaction with silicon

More information

Standard Operating Manual

Standard Operating Manual Standard Operating Manual Branson IPC 3000 O 2 Asher Copyright 2014 by Hong Kong University of Science & Technology. All rights reserved. Page 1 Contents 1. Picture and Location 2. Process Capabilities

More information

JETFIRST 150 RTA SYSTEM OPERATING MANUAL Version: 2 Feb 2012

JETFIRST 150 RTA SYSTEM OPERATING MANUAL Version: 2 Feb 2012 JETFIRST 150 RTA SYSTEM OPERATING MANUAL Version: 2 Feb 2012 UNIVERSITY OF TEXAS AT ARLINGTON Nanofabrication Research and Teaching Facility TABLE OF CONTENTS 1. Introduction....2 1.1 Scope of Work.....2

More information

University of MN, Minnesota Nano Center Standard Operating Procedure

University of MN, Minnesota Nano Center Standard Operating Procedure Equipment name: STS Etcher Badger name: STS Revision number: 3 Model: 320 Revisionist: Paul Kimani Location: Bay 3 Date: 1 October 2013 A. Description The 320 is a manually loaded batch plasma etching

More information

Standard Operating Manual

Standard Operating Manual Standard Operating Manual Branson IPC 3000 O 2 Asher Page 1 of 14 Contents 1 Picture and Location 2 Process Capabilities 2.1 Cleanliness Standard 2.2 Recipes 2.3 Performance of Branson IPC 3000 O 2 Asher

More information

Edge Isolation Tool. Standard Operating Procedure. Version 1.1. Date: Prepared by, Sandeep S S. Department of Electrical Engineering

Edge Isolation Tool. Standard Operating Procedure. Version 1.1. Date: Prepared by, Sandeep S S. Department of Electrical Engineering Edge Isolation Tool Standard Operating Procedure Version 1.1 Date: 20-9-2012 Prepared by, Sandeep S S Department of Electrical Engineering IIT Bombay This document is meant for internal circulation only

More information

MANUAL FOR SPTS APS (DIELECTRICS ETCHER)

MANUAL FOR SPTS APS (DIELECTRICS ETCHER) MANUAL FOR SPTS APS (DIELECTRICS ETCHER) To be read first: SPTS APS is an etcher dedicated to dielectrics (SiO 2, Si 3 N 4, glass types...). Dielectrics etching in AMS200 is no more CMi standard. AMS200

More information

Operating Procedures for the. SAMCO ICP RIE System

Operating Procedures for the. SAMCO ICP RIE System Operating Procedures for the SAMCO ICP RIE System General Overview: The purpose of the SAMCO Model 200iP Inductively Coupled Plasma Reactive Ion Etcher (ICP RIE) is to etch III-V compound semiconductors

More information

ABM MASK ALIGNERS. NanoFab 26 March 2009 A Micro Machining & Nanofabrication Facility

ABM MASK ALIGNERS. NanoFab 26 March 2009 A Micro Machining & Nanofabrication Facility ABM MASK ALIGNERS LOCATION: Optical Lithography PRIMARY TRAINER: Stephanie Bozic (2-6724, sbozic@ualberta.ca) SECONDARY TRAINER: Jolene Chorzempa (2-4823, jolenec@ualberta.ca) 1. OVERVIEW The ABM Mask

More information

High Aspect Ratio DRIE on the STS ICP-RIE

High Aspect Ratio DRIE on the STS ICP-RIE High Aspect Ratio DRIE on the STS ICP-RIE Aspect Ratio Dependant Etching (ARDE) is the difference in the etch depth of features with varying areas of silicon. Areas with more open silicon etch faster compared

More information

Oerlikon Sputtering Evaporator SOP

Oerlikon Sputtering Evaporator SOP Oerlikon Sputtering Evaporator SOP Short UNT Cleanroom 1. Taking out sample holder from Transport Chamber : Log in FOM to access the software Go to the software and log in with user1 and password user1

More information

Title: Xactix XeF2 Etcher Semiconductor & Microsystems Fabrication Laboratory Revision: A Rev Date: 03/23/2016

Title: Xactix XeF2 Etcher Semiconductor & Microsystems Fabrication Laboratory Revision: A Rev Date: 03/23/2016 Approved by: Process Engineer / / / / Equipment Engineer 1 SCOPE The purpose of this document is to detail the use of the Xactix XeF2 Etcher. All users are expected to have read and understood this document.

More information

Plasma II (AXIC) Standard Operating Procedure. Revision: 1.0 Last Updated: Feb.6/2013, Revised by Grace Li

Plasma II (AXIC) Standard Operating Procedure. Revision: 1.0 Last Updated: Feb.6/2013, Revised by Grace Li Plasma II (AXIC) Standard Operating Procedure Revision: 1.0 Last Updated: Feb.6/2013, Revised by Grace Li Overview This document will provide a detailed operation procedure of the Axic Multimode LF-6 plasma

More information

Nanofabrication Facility: PECVD SOP Rev. 00, April 24

Nanofabrication Facility: PECVD SOP Rev. 00, April 24 Author: Charlie Yao & Mario Beaudoin Email: charlieyao@gmail.com; Beaudoin@physics.ubc.ca Phone: 604-822-1853(MB). Purpose This document outlines the standard operation for the Trion Plasma Enhanced Chemical

More information

The MRL Furnaces USED FOR THIS MANUAL COVERS

The MRL Furnaces USED FOR THIS MANUAL COVERS The MRL Furnaces USED FOR 1. Making Silicon Oxide films from 10nm to 2um thickness 2. Annealing with temperatures from 30 800C and times from 5min to 2days 3. Diffusion with temperatures from 30 1100C

More information

Standard Operating Manual

Standard Operating Manual Standard Operating Manual Denton Explorer 14 RF/DC Sputter Version 1.0 Page 1 of 11 Contents 1. Picture and Location 2. Process Capabilities 1. Cleanliness Standard 2. Available for Sputtering Materials

More information

5.1.3 Mechanical Hazards Drive assemblies have sufficient power to cause injury. Keep hands, fingers, clothing and tools clear of moving parts.

5.1.3 Mechanical Hazards Drive assemblies have sufficient power to cause injury. Keep hands, fingers, clothing and tools clear of moving parts. Approved by: Process Engineer / / / / Equipment Engineer 1 SCOPE The purpose of this document is to detail the use of the PE4400. All users are expected to have read and understood this document. It is

More information

COMELEC C-30-S Parylene Coating System

COMELEC C-30-S Parylene Coating System COMELEC C-30-S Parylene Coating System Comelec C-30-S Parylene deposition system Introduction Parylene is a polymere deposited at room temperature in a vacuum chamber (few µb). Parylene coating is perfectly

More information

University of MN, Minnesota Nano Center Standard Operating Procedure

University of MN, Minnesota Nano Center Standard Operating Procedure Equipment Name: Image Reversal Oven Badger name: ir-oven Revision #: 2 Model: YES 310 Revisionist: Paul Kimani Location: Bay 2 Date: October 29, 2013 1. Description The Yield Engineering Systems YES-310

More information

Plasma 1 (Technics) Standard Operating Procedure. Revision: 1.0 Last Updated: Feb.5/2013, Revised by Grace Li

Plasma 1 (Technics) Standard Operating Procedure. Revision: 1.0 Last Updated: Feb.5/2013, Revised by Grace Li Plasma 1 (Technics) Standard Operating Procedure Revision: 1.0 Last Updated: Feb.5/2013, Revised by Grace Li Overview This document will provide a detailed operation procedure of the Technics PE II-A plasma

More information

Usage Policies Notebook for AMST Molecular Vapor Deposition System MVD 100

Usage Policies Notebook for AMST Molecular Vapor Deposition System MVD 100 Usage Policies Notebook for AMST Molecular Vapor Deposition System MVD 100 Revision date September 2014 2 Emergency Plan for AMST MVD 100 Standard Operating Procedures for Emergencies Contact information

More information

Plasma Cleaner. Yamato Scientific America. Contents. Innovating Science for Over 125 Years. Gas Plasma Dry Cleaner PDC200/210/510 PDC610G.

Plasma Cleaner. Yamato Scientific America. Contents. Innovating Science for Over 125 Years. Gas Plasma Dry Cleaner PDC200/210/510 PDC610G. Yamato Scientific America Innovating Science for Over 125 Years Plasma Cleaner Contents Gas Plasma Dry Cleaner PDC200/210/510 PDC610G Gas Plasma Reactor 145 146 147 149 144 Gas Plasma Dry Cleaner Plasma

More information

Savannah S100 ALD at SCIF, UC Merced Standard operating Procedure

Savannah S100 ALD at SCIF, UC Merced Standard operating Procedure This document covers the procedure that should be followed for normal operation of the Cambridge NanoTech: Savannah S100 (Atomic Layer Deposition ALD). This tool is design to be used with whole 4inch wafers.

More information

Trion PECVD SOP IMPORTANT: NO PLASTIC, TAPE, RESISTS, OR THERMAL PASTE ARE ALLOWED IN THE CHAMBER

Trion PECVD SOP IMPORTANT: NO PLASTIC, TAPE, RESISTS, OR THERMAL PASTE ARE ALLOWED IN THE CHAMBER Trion PECVD SOP IMPORTANT: NO PLASTIC, TAPE, RESISTS, OR THERMAL PASTE ARE ALLOWED IN THE CHAMBER CAUTION: THE CHAMBER PLATE GETS EXTREMELY HOT Start Up Procedure 1) Open bottle and regulator for Helium,

More information

NORDSON MARCH PX-1000 PLASMA ASHER STANDARD OPERATING PROCEDURE Version: 1.0 July 2016

NORDSON MARCH PX-1000 PLASMA ASHER STANDARD OPERATING PROCEDURE Version: 1.0 July 2016 NORDSON MARCH PX-1000 PLASMA ASHER STANDARD OPERATING PROCEDURE Version: 1.0 July 2016 UNIVERSITY OF TEXAS AT ARLINGTON Nanotechnology Research Center TABLE OF CONTENTS 1. Introduction..3 1.1 Scope of

More information

Cambridge NanoTech: Savannah S100. Table of Contents

Cambridge NanoTech: Savannah S100. Table of Contents Table of Contents 1.0 Purpose/Scope... 2 2.0 Reference Documents... 2 3.0 Equipment/Supplies/Material... 2 4.0 Safety... 2 5.0 Logbook... 3 6.0 Login and Launch Software... 3 7.0 Session Setup... 3 8.0

More information

Angstrom Dielectric Sputterer Operation Manual

Angstrom Dielectric Sputterer Operation Manual Angstrom Dielectric Sputterer Operation Manual I. System overview The Angstrom Dielectric Sputterer (ADS) has a similar interface as the Angstrom metal sputterer. It has two screens, the process screen

More information

STS Advanced Oxide Etch DRIE System Trends

STS Advanced Oxide Etch DRIE System Trends Date: 7/16/07 STS Advanced Oxide Etch DRIE System A- INTRODUCTION The purpose of this document is to help for process development of the AOE DRIE. This document provides general trends but the actual results

More information

Arizona State University NanoFab XACTIX ETCHER. Rev A

Arizona State University NanoFab XACTIX ETCHER. Rev A Arizona State University NanoFab XACTIX ETCHER Rev A Table of Contents Contents Table of Contents... 1 1. Purpose / Scope... 2 2. Reference Documents... 2 3. Equipment / Supplies / Material... 2 4. Safety...

More information

COBILT CA-800 Mask Aligner Equipment Operation

COBILT CA-800 Mask Aligner Equipment Operation COBILT CA-800 Mask Aligner Equipment Operation For the Micro-Electronics Laboratory At University of Notre Dame Department of Electrical Engineering This user manual is not be removed from room 247A. This

More information

Thermo K-Alpha XPS Standard Operating Procedure

Thermo K-Alpha XPS Standard Operating Procedure Thermo K-Alpha XPS Standard Operating Procedure Quick Guide Draft v.0.1 Procedure overview 1. Vent the loadlock 2. Secure your sample to the stage using clips, check the height of the final assembly. 3.

More information

NRF Suss Delta 80 Spinner SOP Revision /14/2016 Page 1 of 11. Suss Delta 80 Spinner SOP

NRF Suss Delta 80 Spinner SOP Revision /14/2016 Page 1 of 11. Suss Delta 80 Spinner SOP Page 1 of 11 Note: latest updates are blue. Table of Contents Suss Delta 80 Spinner SOP 1.0 Safety 2.0 Quality Controls and Calibration 3.0 Equipment Uses and Restrictions 4.0 Equipment Specifications

More information

Operating Procedures for Metal Evaporator I

Operating Procedures for Metal Evaporator I Operating Procedures for Metal Evaporator I Metal Evaporator I is intended as a tool and a training device. Understanding the operation of this equipment should give you a basic knowledge of vacuum and

More information

Photolithography. Operating Instructions

Photolithography. Operating Instructions Photolithography Operating Instructions The PR used during this laboratory session will be Microposit S1813 (from Shipley). Make sure everyone is following the laboratory protocol. Wear lab coats, safety

More information

Nanofabrication Facility: ECR Etcher SOP Rev. 01b, March 06. Standard Operating Procedure for PlasmaQuest ECR II Etching

Nanofabrication Facility: ECR Etcher SOP Rev. 01b, March 06. Standard Operating Procedure for PlasmaQuest ECR II Etching Standard Operating Procedure for PlasmaQuest ECR II Etching Authors: Rev. 00: Al Schmalz, Vighen Pacradouni and Jeff Young, December 21, 1998 Rev. 01: Dr. Andras G. Pattantyus-Abraham, May 24, 2005 Rev.

More information

NNCI ETCH WORKSHOP SI DRIE IN PLASMATHERM DEEP SILICON ETCHER. Usha Raghuram Stanford Nanofabrication Facility Stanford, CA May 25, 2016

NNCI ETCH WORKSHOP SI DRIE IN PLASMATHERM DEEP SILICON ETCHER. Usha Raghuram Stanford Nanofabrication Facility Stanford, CA May 25, 2016 NNCI ETCH WORKSHOP SI DRIE IN PLASMATHERM DEEP SILICON ETCHER Usha Raghuram Stanford Nanofabrication Facility Stanford, CA May 25, 2016 PLASMATHERM DEEP SI ETCHER PROCESS PARAMETERS Process Parameters/

More information

Issue: H Title: CHA E-Beam Evaporator Page 1 of 7. Table of Contents

Issue: H Title: CHA E-Beam Evaporator Page 1 of 7. Table of Contents Title: CHA E-Beam Evaporator Page 1 of 7 Table of Contents Purpose/Scope... 2 2.0 Reference Documents... 2 3.0 Equipment/Supplies/Material... 2 4.0 Safety... 2 5.0 Set Up Procedures... 2 5.1 PC Logon and

More information

Equipment Standard Operating Procedure Greg Allion and Kimberly Appel

Equipment Standard Operating Procedure Greg Allion and Kimberly Appel Date Created: May 3, 2004 Date Modified: June 1, 2005 MA6/BA6 Mask Aligner Equipment Standard Operating Procedure Greg Allion and Kimberly Appel 1. Purpose 1.1. Photolithography involves transferring a

More information

Angstrom E-Beam Instructions. PROCESS CHECKS: the tooling factors of each metal; Ti/Au layer for wire bonding pull test.

Angstrom E-Beam Instructions. PROCESS CHECKS: the tooling factors of each metal; Ti/Au layer for wire bonding pull test. Angstrom E-Beam Instructions Tool Manager: Joe Palmer (jpalmer@princeton.edu) Office: 8-4706; Cell:609-751-1353 Backup: David Barth (dbarth@princeton.edu) Office: 8-4626; Cell: 610-405-8227 PROCESS CHECKS:

More information

SSI Solaris 150 RTA Revision /27/2016 Page 1 of 9. SSI Solaris 150 RTA

SSI Solaris 150 RTA Revision /27/2016 Page 1 of 9. SSI Solaris 150 RTA Page 1 of 9 SSI Solaris 150 RTA The Solaris 150 RTA is a rapid thermal annealing system capable of handling sample sizes up to 100mm (4 diameter) or smaller. The system can anneal in N 2 and Forming gas

More information

MJB4 Mask Aligner Operating Procedure. Effective Date: 07/12/2012 Author(s): Jiong Hua Phone:

MJB4 Mask Aligner Operating Procedure. Effective Date: 07/12/2012 Author(s): Jiong Hua Phone: MJB4 Mask Aligner Operating Procedure Effective Date: 07/12/2012 Author(s): Jiong Hua Phone: 402-472-3773 Email: jhua2@unl.edu 1 1 Introduction 1.1 Key Words Karl Suss MJB4 Mask Aligner, Optical Lithography,

More information

Nordiko Metal Sputtering System Standard Operating Procedure

Nordiko Metal Sputtering System Standard Operating Procedure Nordiko Metal Sputtering System Standard Operating Procedure Specifications : Target Size Gases used in the system Base pressure Sputtering pressure Substrates used Substrate size : 2 inch or 4 inch :

More information

Application Note. ASTRON Remote Plasma Source Ignition Best Practices PROBLEM

Application Note. ASTRON Remote Plasma Source Ignition Best Practices PROBLEM PROBLEM The ASTRON family of remote plasma sources produce reactive gas species for semiconductor device fabrication applications. Reliable ignition of the plasma in the ASTRON depends strongly on the

More information

Standard Operating Procedure. For. PVD E-Beam

Standard Operating Procedure. For. PVD E-Beam P a g e 1 Standard Operating Procedure For PVD E-Beam P a g e 2 Introduction The PVD Electron-Beam Evaporator (E-Beam) thin film deposition machine uses a magnetically guided and collimated stream of electrons

More information

March Asher Operation

March Asher Operation March Asher Operation Roger Robbins 7/31/2006 The University of Texas at Dallas Erik Jonsson Engineering School of Engineering TITLE: March Asher Operation Page 1 of 13 March Asher Operation Roger Robbins

More information

1.1 Equipment: substrate, wafer tweezers, metal targets 1.2 Personal Protective Equipment: nitrile gloves, safety glasses 1.

1.1 Equipment: substrate, wafer tweezers, metal targets 1.2 Personal Protective Equipment: nitrile gloves, safety glasses 1. Nanomaster NSC-3000 DC Magnetron Sputter Tool Standard Operating Procedure Faculty Supervisor: Prof. Robert White, Mechanical Engineering (x72210) Safety Office: Peter Nowak x73246 (Just dial this directly

More information

AX5000 Operational Manual

AX5000 Operational Manual MIYACHI AMERICA CORPORATION The World Leader in Hermetic Sealing Systems AX5000 Operational Manual 0 Document #107-00092-001 Dec, 2013 AX5000 Operational Manual Miyachi America Corporation 1820 S. Myrtle

More information

Usage Policies Notebook for NanoFurnace Furnace (EasyTube 3000 System)

Usage Policies Notebook for NanoFurnace Furnace (EasyTube 3000 System) Usage Policies Notebook for NanoFurnace Furnace (EasyTube 3000 System) Revision date October 2014 2 Emergency Plan for Nano Furnace Standard Operating Procedures for Emergencies Contact information Person

More information

Unifilm Technology PVD-300 Sputter Deposition Operation Instructions

Unifilm Technology PVD-300 Sputter Deposition Operation Instructions Unifilm Technology PVD-300 Sputter Deposition Operation Instructions Contributors: Devin Brown, Kevin Klein, Ben King, Eric Woods Anything that is BOLD UNDERLINED ITALICS means that you should press that

More information

University of Minnesota Nano Fabrication Center Standard Operating Procedure

University of Minnesota Nano Fabrication Center Standard Operating Procedure Equipment Name: TYLAN Furnace Coral Name: tylan Revision Number: 5 Model: titian Revisionist: Tony Whipple Location: Bay 1 Date: 7 Nov 2006 1 Description The Tylan system is a furnace system for oxidation,

More information

RAPID THERMAL PROCESSOR (Annealsys AS-ONE 150) Lab Manual

RAPID THERMAL PROCESSOR (Annealsys AS-ONE 150) Lab Manual RAPID THERMAL PROCESSOR (Annealsys AS-ONE 150) Lab Manual Prepared by- Hitesh Kamble Akhil Kumar S Materials not allowed: 1. Processing of Si wafers having back-side metal deposition. 2. Organic material

More information

Warnings: Notes: Revised: January 8,

Warnings: Notes: Revised: January 8, OAI Model 204IR Mask Aligner Standard Operating Procedure Faculty Supervisor: Prof. Robert White, Mechanical Engineering (x72210) Safety Office: Peter Nowak x73246 (Just dial this directly on any campus

More information

Leaks, contamination and closed loop control how RGAs make coating processes more profitable

Leaks, contamination and closed loop control how RGAs make coating processes more profitable Leaks, contamination and closed loop control how RGAs make coating processes more profitable Backup: What s an RGA Residual Gas Analyzer An instrument that is measuring the gas composition in a chamber

More information

Standard Operating Manual

Standard Operating Manual Standard Operating Manual Allwin21 AW610 RTP Page 1 of 18 Contents 1 Picture and Location 2 Process Capabilities 2.1 Cleanliness Standard 2.2 Recipes 2.3 Performance of Allwin21 AW610 RTP 3 Contact List

More information

Plasma Asher: March PX-500 User guide (May-30, 2017)

Plasma Asher: March PX-500 User guide (May-30, 2017) Plasma Asher: March PX-500 User guide (May-30, 2017) This is a highly versatile plasma etch tool that can etch using a direct plasma configuration (Oxygen plasma cleaner), a downstream plasma (Remote plasma),

More information

Operation of the mask aligner MJB-55

Operation of the mask aligner MJB-55 John Paul Adrian Glaubitz Operation of the mask aligner MJB-55 Department of Physics Faculty of Mathematics and Natural Sciences University of Oslo 1 Introduction The mask aligner is an essential tool

More information

University of Minnesota Nano Center Standard Operating Procedure

University of Minnesota Nano Center Standard Operating Procedure University of Minnesota Nano Center Standard Operating Procedure Equipment Name: Controlled Atmosphere Glove Box Model: Labconco Protector Location: PAN 185 Badger Name: Not on Badger Revision Number:

More information

CHEMICAL ENGINEERING SENIOR LABORATORY CHEG Initiated Chemical Vapor Deposition

CHEMICAL ENGINEERING SENIOR LABORATORY CHEG Initiated Chemical Vapor Deposition 1 CHEMICAL ENGINEERING SENIOR LABORATORY CHEG 4139 Initiated Chemical Vapor Deposition Objective: The objective of this experiment is to determine the effect of process variables on the deposition of thin

More information

Approved by BNC Managing Director Paul Lum Date: Approved by PI (s) /Super User (s): _Peter Hosemann/Andy Minor_Date:

Approved by BNC Managing Director Paul Lum Date: Approved by PI (s) /Super User (s): _Peter Hosemann/Andy Minor_Date: Approved by BNC Managing Director Paul Lum Date: Approved by PI (s) /Super User (s): _Peter Hosemann/Andy Minor_Date: Standard Operating Procedure BNC FEI Quanta 3D FEG/FIB ESEM Version 2011 Aug 30 I.

More information

QUORUM TECH 150RES THE FIRST AND THIRD WEEK WILL BE SET UP FOR CARBON COATING THE SECOND AND LAST WEEK WILL BE SET UP FOR GOLD COATING

QUORUM TECH 150RES THE FIRST AND THIRD WEEK WILL BE SET UP FOR CARBON COATING THE SECOND AND LAST WEEK WILL BE SET UP FOR GOLD COATING QUORUM TECH 150RES This document is intended to describe the function and use of the QuorumTech Q150RES system. Formal training and qualification by staff is required before gaining access to the tool.

More information

Agilent ICP-MS Interactive Troubleshooting tool for Plasma ignition problem

Agilent ICP-MS Interactive Troubleshooting tool for Plasma ignition problem Agilent ICP-MS Interactive Troubleshooting tool for Plasma ignition problem Rev. B 2017, January, 20 Next > Preconditions 7900, 7800, 7700, 8800, or 8900 ICP-MS is used. Sample introduction type is PeriPump.

More information

KARL SUSS MJB3 MASK ALIGNER STANDARD OPERATING PROCEDURE

KARL SUSS MJB3 MASK ALIGNER STANDARD OPERATING PROCEDURE KARL SUSS MJB3 MASK ALIGNER STANDARD OPERATING PROCEDURE Purpose of this Instrument: This instrument is for patterning photosensitive polymers with UV light. Location: White Hall 410 Cleanroom Primary

More information

Arizona State University Center for Solid State Electronics Research Issue: E Title: Heat Pulse 610 Operating Procedure Page 1 of 7

Arizona State University Center for Solid State Electronics Research Issue: E Title: Heat Pulse 610 Operating Procedure Page 1 of 7 Title: Heat Pulse 610 Operating Procedure Page 1 of 7 Table of Contents 1.0 Purpose/Scope... 2 2.0 Reference Documents... 2 2.1 Heat Pulse Operations Manual... 2 2.2 Solaris software Operations Manual...

More information

Equipment Operating Procedure Glove Box

Equipment Operating Procedure Glove Box Equipment Operating Procedure Glove Box Page 1 0.0 Changing the Compressed Gas Cylinder 1. Complete Compressed Gas Cylinder training from EHS website before manually exchanging gas cylinders. In order

More information

School of Chemistry SOP For Operation Of Glove Boxes

School of Chemistry SOP For Operation Of Glove Boxes School SOP for Operation of Glove Boxes: The following SOP provides guidelines on how to adequately and safely operating a standard laboratory glove box and its associated devices. General Information:

More information

Warnings: Notes: Revised: October 5, 2015

Warnings: Notes: Revised: October 5, 2015 Karl Suss MA6 Mask Aligner Standard Operating Procedure Faculty Supervisor: Prof. Robert White, Mechanical Engineering (x72210) Safety Office: Peter Nowak x73246 (Just dial this directly on any campus

More information

SPUTTER STATION STANDARD OPERATING PROCEDURE

SPUTTER STATION STANDARD OPERATING PROCEDURE SPUTTER STATION STANDARD OPERATING PROCEDURE Purpose of this Instrument: This instrument is used for deposition of thin metal or oxide films. Source materials supplied by WVU Shared Research Facilities:

More information

Karl Suss MA6 Mask Aligner SOP

Karl Suss MA6 Mask Aligner SOP Page 1 of 11 Karl Suss MA6 Mask Aligner SOP Safety UV Exposure: The high energy light produced by the high pressure Mercury Xenon lamp can cause eye damage and skin burns. Be sure that the light guards

More information

Glove Box Installation Manual

Glove Box Installation Manual Glove Box Installation Manual 1998 by M. Braun Company File: GB-UNI-INS.DOC! Edition 08-00 by M. Boutin! Subject to be changed without notice Glovebox Installation Your Glove box has been fully assembled,

More information

VACUUM CHAMBER PM OBJECTIVE: Vacuum Vacuum STS-RIE / ETCH CAVITY. Chamber. Vacuum CHAMBER WALL. 5 to 6 days. Procedure: particle issues.

VACUUM CHAMBER PM OBJECTIVE: Vacuum Vacuum STS-RIE / ETCH CAVITY. Chamber. Vacuum CHAMBER WALL. 5 to 6 days. Procedure: particle issues. BEFORE AFTER VACUUM CHAMBER PM TECHNIQUE MULTIPLEX ICP STS-RIE CHAMBER WALL CLEAN OBJECTIVE: TO EFFECTIVELY PM THE ETCH STS-RIE CHAMBER IN A TIMELY MANNER, WHILE IMPROVING TOOL RECOVERY AND PARTICLE PERFORMANCE

More information

Standard Operating Procedure

Standard Operating Procedure Page 1 of 10 Vigor Glove Box Standard Operating Procedure Lab: ESB 154 Department: Materials Science and Engineering PI: Paul V. Braun Written By: Subing (Alan) Qu Section 1: Overview Type of SOP: Process

More information

BEST KNOWN METHODS. Transpector XPR3 Gas Analysis System. 1 of 6 DESCRIPTION XPR3 APPLICATIONS PHYSICAL INSTALLATION

BEST KNOWN METHODS. Transpector XPR3 Gas Analysis System. 1 of 6 DESCRIPTION XPR3 APPLICATIONS PHYSICAL INSTALLATION BEST KNOWN METHODS Transpector XPR3 Gas Analysis System DESCRIPTION The Transpector XPR3 is a third-generation, quadrupole-based residual gas analyzer that operates at PVD process pressures and is the

More information

Chapter 2 General description of the system

Chapter 2 General description of the system Chapter 2 General description of the system This system is a high density plasma etching system having a C to C load-lock system and an ISM (Inductive Super Magnetron) plasma source. The system consists

More information

VACUUM CHAMBER MANUAL

VACUUM CHAMBER MANUAL VACUUM CHAMBER MANUAL INDUSTRIAL VACUUM CHAMBERS CONTACT US PHONE/FAX Toll Free: 800.465.1004 Phone: 801.486.1004 Fax: 801.486.1007 ADDRESS LACO Technologies, Inc. 3085 West Directors Row Salt Lake City,

More information

REACTIVE ION ETCHING OF SILICON DIOXIDE USING BOTH OXYGEN AND CARBON DIOXIDE AS GAS ADDITIVES. dames E. Constantino ABSTRACT

REACTIVE ION ETCHING OF SILICON DIOXIDE USING BOTH OXYGEN AND CARBON DIOXIDE AS GAS ADDITIVES. dames E. Constantino ABSTRACT REACTIVE ION ETCHING OF SILICON DIOXIDE USING BOTH OXYGEN AND CARBON DIOXIDE AS GAS ADDITIVES dames E. Constantino 5th Year Microelectronic Engineering Student Rochester Institute of Technology BACKGROUND

More information

Standard Operating Procedure Porosity Analyzer - The Micromeritics Tri-Star II (surface area) with Micrometrics VacPrep 061 (Sample Degas System)

Standard Operating Procedure Porosity Analyzer - The Micromeritics Tri-Star II (surface area) with Micrometrics VacPrep 061 (Sample Degas System) Standard Operating Procedure Porosity Analyzer - The Micromeritics Tri-Star II (surface area) with Micrometrics VacPrep 061 (Sample Degas System) The Micromeritics Tri-Star II Surface Area and Porosity

More information

Login to ilab Kiosk. Revised 05/22/2018. Load your sample:

Login to ilab Kiosk. Revised 05/22/2018. Load your sample: Login to ilab Kiosk Load your sample: 1. Check: The analysis chamber pressure is

More information

VACUUM CHAMBER PM TECHNIQUE LAM 4520 Oxide ETCH Chamber

VACUUM CHAMBER PM TECHNIQUE LAM 4520 Oxide ETCH Chamber VACUUM CHAMBER PM TECHNIQUE LAM 4520 Oxide ETCH Chamber OBJECTIVE: TO EFFECTIVELY PM THE LAM 4520 OXIDE ETCH CHAMBER IN A TIMELY MANNER, WHILE IMPROVING TOOL RECOVERY AND PARTICLE PERFORMANCE Vacuum Chamber:

More information