MANUAL FOR SPTS APS (DIELECTRICS ETCHER)

Size: px
Start display at page:

Download "MANUAL FOR SPTS APS (DIELECTRICS ETCHER)"

Transcription

1 MANUAL FOR SPTS APS (DIELECTRICS ETCHER) To be read first: SPTS APS is an etcher dedicated to dielectrics (SiO 2, Si 3 N 4, glass types...). Dielectrics etching in AMS200 is no more CMi standard. AMS200 is now dedicated to Si etching. I. Introduction SPTS Advanced Plasma System (APS) module is an ICP-based high density plasma source. This system was optimized for etching dielectrics (e.g. SiO2, SixNy, SiC, Al2O3, glass types...) which are usually difficult to etch using conventional RIE or ICP sources. Main features of the system are: High density plasma source Wafer voltage biasing independent from the ICP Electrostatic clamping => no EBR required Powerful gas process pumping arrangement Loadlock/chamber transfers for single wafer processing Control software offering fully automated processes End-point detection system (optical spectroscopy) CMi / SPTS APS _ Dielectrics etcher Page 1/10

2 II. Processes available Materials SH temp ( C) Process name Chemistry Mask material Etch rate (nm/min) Selectivity EPD Si 3 N 4 20 Si 3 N 4 smooth CHF 3 /SF 6 ZEP SiN LPCVD: : 1 Yes Si: 280 WetOx: 170 AZ PR: 80 SiO 2 20 SiO 2 PR 1:1 He/CHF 3 PR WetOx: : 1 Yes Si 3 N 4 : 220 SiN LS: 190 Si: 90 AZ PR: SiO 2 PR 2:1 He/C 4 F 8 PR WetOx: : 1 Yes Si 3 N 4 : 115 SiN LS: SiO 2 PR 3:1 He/H 2 /C 4 F 8 PR WetOx: : 1 Yes Si 3 N 4 : 250 SiN LS: 215 Si: 30 AZ PR: SiO 2 PR 3:1 SOFT He/C 4 F 8 PR WetOx: : 1 Yes 20 SiO 2 PR 5:1 He/H 2 /C 4 F 8 PR WetOx: : 1 Yes Si 3 N 4 : 300 SiN LS: 280 Si: 30 AZ PR: 40 Fused Silica 20 Fused Silica C 4 F 8 /O 2 Al : 1 No Pyrex 25 Pyrex C 4 F 8 /O 2 Al : 1 No Chamber clean 20 O2 Clean with wafer O Yes CMi / SPTS APS _ Dielectrics etcher Page 2/10

3 On the SPTS etcher, a process is defined as a sequence of several steps: 1. Wafer is loaded in, clamped and helium back cooling is switched-on. 2. Wafer cooling condition is checked by a leak-up-rate (LUR): the chamber is isolated from the pumping system and increase of pressure inside the chamber is recorded. This pressure increase is due to helium leaking in between the chuck and the clamped wafer. To ensure correct cooling of the wafer during etching, LUR should not exceed 80mtorr/min. 3. Wafer is etched and the End-Point Detection (EPD) system starts automatically. EPD system is used in "monitoring only" mode and therefore it does not control the process chamber. If no action is done by the operator to manually stop the etching, the process will run until the set time has elapsed, even if an end point is detected by the EPD system. 4. Dechuck step: electrostatic clamping is switched-off and a low power argon plasma runs for few seconds to help removing accumulated charges that may remain. No chuck biasing is applied here to ensure no sample damage by argon ions. 5. The wafer is unloaded and, if necessary, an automatic cleaning of both the chamber and the chuck starts, stopping automatically unpon end-point detection. 6. Machine is ready for another run. Clearing the PR mask after Si 3 N 4 and SiO 2 etch requires both dry and wet treatments: 1. Start with an oxygen plasma using the Tepla GiGAbatch. 2. Then do a wet remover treatment using the Ultrafab wetbench. 3. If necessary, remove final residues with the Tepla GiGAbatch again. III. Modus Operandi 1. System is in idle mode by default: no wafer is loaded, CTC software is open (if not open it), loadlock is under vacuum. 2. First login in the CTC software: Select the account "other", and enter : 1 (for the account) 1 (for the password). CMi / SPTS APS _ Dielectrics etcher Page 3/10

4 3. Select: (a) Recipe, (b) APS1 (hit the cross), (c) Process Module (hit the cross), (d) The process you are interested in (hit the cross), (e) The etching step (SiO2_PR_2:1 in the example) (f) Process parameters are visible, you can only modify the process time in General (g) Save the change (hit Apply on the right) 4. Select the "Automatic" mode, "Vent" the load lock, and load the wafer on the loading arm. CMi / SPTS APS _ Dielectrics etcher Page 4/10

5 5. Select a batch recipe in the predefined batch recipes list. 6. Click on "Start" icon and check that the batch uses the correct recipe. Then click "Next" and finally "Start". This loads the wafer inside the process module and starts automatically the ecthing sequence detailed previously. 7. Select the "Manual" mode and click onto "Process (APS1)". Check the LUR test and write-down its value in the follow-up file of SPTS etcher available on the table. CMi / SPTS APS _ Dielectrics etcher Page 5/10

6 8. If no action is done by the operator to manually stop the etching, the process will run until the set time has elapsed. If the etching has to be stopped before the set time, i.e. after end-point is detected, proceed as follows: Manual stopping upon EPD control Be sure "Manual" control and "Process (APS1)" are active: Activate the end-point tab and click "Endpoint": CMi / SPTS APS _ Dielectrics etcher Page 6/10

7 The Oxide EPD recipe follows the intensity of the 440nm spectral line which corresponds to SiF (etching by-product). 9. Then, the system runs the dechuck step, unloads the wafer, vents the loadlock and runs the automatic cleaning of both chamber and chuck (if applicable). CMi / SPTS APS _ Dielectrics etcher Page 7/10

8 The Oxygen EPD cleaning recipe follows the intensity of the multi-region 685nm to 695nm spectral lines which correspond to COx (cleaning by-products). 10. Load the next wafer to be etched or if you are done pump the load lock. CMi / SPTS APS _ Dielectrics etcher Page 8/10

9 IV. Photos gallery Figure 1: Si 3 N 4 etch with Si 3 N 4 smooth. Figure 3: SiO 2 etch with SiO2 PR 3:1. CMi / SPTS APS _ Dielectrics etcher Page 9/10

10 Figure 4: 60min etch of fused silica with aluminum mask. Figure 6: 60min etch of pyrex with aluminum mask CMi / SPTS APS _ Dielectrics etcher Page 10/10

Unaxis ICP/RIE SOP Revision 8 09/30/16 Page 1 of 5. NRF Unaxis ICP/RIE Etch SOP

Unaxis ICP/RIE SOP Revision 8 09/30/16 Page 1 of 5. NRF Unaxis ICP/RIE Etch SOP Page 1 of 5 NRF Unaxis ICP/RIE Etch SOP Unaxis Shuttlelock Reactive Ion Etcher with Inductively Coupled Plasma Module. Etch Capabilities: SiO2, Si3N4, Al, dielectrics and other commonly used materials.

More information

R I T. Title: STS ASE Semiconductor & Microsystems Fabrication Laboratory Revision: Original Rev Date: 01/21/ SCOPE 2 REFERENCE DOCUMENTS

R I T. Title: STS ASE Semiconductor & Microsystems Fabrication Laboratory Revision: Original Rev Date: 01/21/ SCOPE 2 REFERENCE DOCUMENTS Approved by: Process Engineer / / / / Equipment Engineer 1 SCOPE The purpose of this document is to detail the use of the STS ASE. All users are expected to have read and understood this document. It is

More information

Edge Isolation Tool. Standard Operating Procedure. Version 1.1. Date: Prepared by, Sandeep S S. Department of Electrical Engineering

Edge Isolation Tool. Standard Operating Procedure. Version 1.1. Date: Prepared by, Sandeep S S. Department of Electrical Engineering Edge Isolation Tool Standard Operating Procedure Version 1.1 Date: 20-9-2012 Prepared by, Sandeep S S Department of Electrical Engineering IIT Bombay This document is meant for internal circulation only

More information

University of MN, Minnesota Nano Center Standard Operating Procedure

University of MN, Minnesota Nano Center Standard Operating Procedure Equipment Name: University of MN, Minnesota Nano Center Deep Trench Etcher Badger Name: deeptrench Revision Number: 9 Model: SLR -770 Sofware Version: CORTEX v4.5 Revisionists: Paul Kimani Location: Bay

More information

STS ICP-RIE. Scott Munro (2-4826,

STS ICP-RIE. Scott Munro (2-4826, STS ICP-RIE LOCATION: Plasma Etch Area PRIMARY TRAINER: Scott Munro (2-4826, email@address.com) 1. OVERVIEW The STS ICP-RIE is available to users who require deep anisotropic silicon etching with near

More information

STS DRIE User SOP Location: NRF Cleanroon, Dry Etch Bay

STS DRIE User SOP Location: NRF Cleanroon, Dry Etch Bay Page 1 of 20 STS DRIE User SOP Location: NRF Cleanroon, Dry Etch Bay Page 2 of 20 STS DRIE SOP The STS DRIE is an Inductively Coupled Plasma Process etcher designed and configured to etch deep high aspect

More information

March CS-1701F Reactive Ion Etcher

March CS-1701F Reactive Ion Etcher March CS-1701F Reactive Ion Etcher Standard Operating Procedure Faculty Supervisor: Prof. Robert White, Mechanical Engineering (x72210) Safety Office: Peter Nowak x73246 (Just dial this directly on any

More information

Arizona State University NanoFab PLASMATHERM 790 RIE. Version A

Arizona State University NanoFab PLASMATHERM 790 RIE. Version A rizona State University NanoFab PLSMTHERM 790 RIE Version SU NanoFab Title: PLSMTHERM 790 RIE Table of Contents Contents Table of Contents...1 1. Purpose / Scope...2 2. Reference Documents...2 3. Equipment

More information

University of Minnesota, MN Nano Center Standard Operating Procedure

University of Minnesota, MN Nano Center Standard Operating Procedure Equipment Name: HDPCVD Revision Number: 2 Badger Name: HDPCVD Revisionist: L. von Dissen Model: Advanced Vacuum Date: 10/25/2016 Apex SLR ICP Location: PAN, Bay 3 1 Description The Apex SLR ICP is a high

More information

Arizona State University Center for Solid State Electronic Research. Table of Contents. Issue: C Title: Oxford Plasmalab 80plus (Floey) Page 1 of 8

Arizona State University Center for Solid State Electronic Research. Table of Contents. Issue: C Title: Oxford Plasmalab 80plus (Floey) Page 1 of 8 Title: Oxford Plasmalab 80plus (Floey) Page 1 of 8 Table of Contents 1.0 Purpose/Scope... 2 2.0 Reference Documents... 2 3.0 Equipment/Supplies/Material... 2 4.0 Safety... 2 5.0 Set Up Procedures... 2

More information

Operating Procedures for the. SAMCO ICP RIE System

Operating Procedures for the. SAMCO ICP RIE System Operating Procedures for the SAMCO ICP RIE System General Overview: The purpose of the SAMCO Model 200iP Inductively Coupled Plasma Reactive Ion Etcher (ICP RIE) is to etch III-V compound semiconductors

More information

Notes-PECVD: Chamber 1

Notes-PECVD: Chamber 1 plasmatherm (EML) STANDARD OPERATING PROCEDURE CORAL Name: Plasmatherm Model Shuttlelock System VII SLR-770/734 Number: Location: EML What it Deposits the following films via Plasma-Enhanced Chemical Vapor

More information

PLASMA ENHANCED CHEMICAL VAPOR DEPOSITION (PECVD) SOP OXFORD PLASMALAB SYSTEM 100

PLASMA ENHANCED CHEMICAL VAPOR DEPOSITION (PECVD) SOP OXFORD PLASMALAB SYSTEM 100 PLASMA ENHANCED CHEMICAL VAPOR DEPOSITION (PECVD) SOP OXFORD PLASMALAB SYSTEM 100 June 2013 Interface Overview. The Oxford software is divided into 5 main screens. 1) Pump Control page 2) Recipe page 3)

More information

Usage Policies Notebook for STS DRIE System

Usage Policies Notebook for STS DRIE System Usage Policies Notebook for STS DRIE System Revision date September 2014 2 Emergency Plan for STS DRIE System Standard Operating Procedures for Emergencies Contact information Person Lab Manager Director

More information

Xactix XeF2 OPERATION MANUAL

Xactix XeF2 OPERATION MANUAL General Information The Xactix e-1 is a xenon difluoride (XeF 2) isotropic silicon etcher. XeF 2 is a vapor phase etch, which exhibits very high selectivity of silicon to photo-resist, silicon dioxide,

More information

University of MN, Minnesota Nano Center Standard Operating Procedure

University of MN, Minnesota Nano Center Standard Operating Procedure Equipment name: STS Etcher Badger name: STS Revision number: 3 Model: 320 Revisionist: Paul Kimani Location: Bay 3 Date: 1 October 2013 A. Description The 320 is a manually loaded batch plasma etching

More information

STS Advanced Oxide Etch DRIE System Trends

STS Advanced Oxide Etch DRIE System Trends Date: 7/16/07 STS Advanced Oxide Etch DRIE System A- INTRODUCTION The purpose of this document is to help for process development of the AOE DRIE. This document provides general trends but the actual results

More information

Usage Policies Notebook for Trion RIE / ICP Dry Etch

Usage Policies Notebook for Trion RIE / ICP Dry Etch Usage Policies Notebook for Trion RIE / ICP Dry Etch Revision date September 2014 2 Emergency Plan for Trion RIE/ICP Dry Etch Standard Operating Procedures for Emergencies Contact information Person Lab

More information

Standard Operating Manual

Standard Operating Manual Standard Operating Manual Oxford Plasmalab 80 Plus Plasma Etcher Page 1 of 24 Contents 1. Picture and Location 2. Process Capabilities 2.1 Cleanliness Standard 2.2 Available Etching Materials 2.3 Performance

More information

Basic ICP Operating Procedures

Basic ICP Operating Procedures Center for High Technology Materials 2 February, 2009 University of New Mexico Created by Beth Fuchs Basic ICP Operating Procedures INTRODUCTION: The ICP is an inductively coupled plasma etching system,

More information

BEST KNOWN METHODS. Transpector XPR3 Gas Analysis System. 1 of 6 DESCRIPTION XPR3 APPLICATIONS PHYSICAL INSTALLATION

BEST KNOWN METHODS. Transpector XPR3 Gas Analysis System. 1 of 6 DESCRIPTION XPR3 APPLICATIONS PHYSICAL INSTALLATION BEST KNOWN METHODS Transpector XPR3 Gas Analysis System DESCRIPTION The Transpector XPR3 is a third-generation, quadrupole-based residual gas analyzer that operates at PVD process pressures and is the

More information

Xactix Xenon Difluoride Etcher

Xactix Xenon Difluoride Etcher Xactix Xenon Difluoride Etcher 1 Introduction This tool is a Xactix e1 series XeF2 (Xenon Difluoride) based vapor phase etch system for isotropic and selective silicon etching. The XeF2 reaction with silicon

More information

NNCI ETCH WORKSHOP SI DRIE IN PLASMATHERM DEEP SILICON ETCHER. Usha Raghuram Stanford Nanofabrication Facility Stanford, CA May 25, 2016

NNCI ETCH WORKSHOP SI DRIE IN PLASMATHERM DEEP SILICON ETCHER. Usha Raghuram Stanford Nanofabrication Facility Stanford, CA May 25, 2016 NNCI ETCH WORKSHOP SI DRIE IN PLASMATHERM DEEP SILICON ETCHER Usha Raghuram Stanford Nanofabrication Facility Stanford, CA May 25, 2016 PLASMATHERM DEEP SI ETCHER PROCESS PARAMETERS Process Parameters/

More information

OXFORD PLASMALAB 80PLUS (CLOEY)

OXFORD PLASMALAB 80PLUS (CLOEY) Arizona State University NanoFab OXFORD PLASMALAB 80PLUS (CLOEY) Rev D Table of Contents Contents Table of Contents...1 1. Purpose / Scope...2 2. Reference Documents...2 3. Equipment / Supplies / Material...2

More information

Plasma 1 (Technics) Standard Operating Procedure. Revision: 1.0 Last Updated: Feb.5/2013, Revised by Grace Li

Plasma 1 (Technics) Standard Operating Procedure. Revision: 1.0 Last Updated: Feb.5/2013, Revised by Grace Li Plasma 1 (Technics) Standard Operating Procedure Revision: 1.0 Last Updated: Feb.5/2013, Revised by Grace Li Overview This document will provide a detailed operation procedure of the Technics PE II-A plasma

More information

Plasma-Therm PECVD. Operating Characteristics. Operating Instructions. Typical Processes. I. Loading. II. Operating

Plasma-Therm PECVD. Operating Characteristics. Operating Instructions. Typical Processes. I. Loading. II. Operating Plasma-Therm PECVD A PECVD (plasma enhanced chemical vapor deposition) reacts gases in a RF (radio frequency) induced plasma to deposit materials such as silicon dioxide and silicon nitride. This PECVD

More information

Plasma II (AXIC) Standard Operating Procedure. Revision: 1.0 Last Updated: Feb.6/2013, Revised by Grace Li

Plasma II (AXIC) Standard Operating Procedure. Revision: 1.0 Last Updated: Feb.6/2013, Revised by Grace Li Plasma II (AXIC) Standard Operating Procedure Revision: 1.0 Last Updated: Feb.6/2013, Revised by Grace Li Overview This document will provide a detailed operation procedure of the Axic Multimode LF-6 plasma

More information

Plasma Cleaner. Yamato Scientific America. Contents. Innovating Science for Over 125 Years. Gas Plasma Dry Cleaner PDC200/210/510 PDC610G.

Plasma Cleaner. Yamato Scientific America. Contents. Innovating Science for Over 125 Years. Gas Plasma Dry Cleaner PDC200/210/510 PDC610G. Yamato Scientific America Innovating Science for Over 125 Years Plasma Cleaner Contents Gas Plasma Dry Cleaner PDC200/210/510 PDC610G Gas Plasma Reactor 145 146 147 149 144 Gas Plasma Dry Cleaner Plasma

More information

Usage Policies Notebook for Xenon Difluoride (XeF 2 ) Isotropic Si Etch

Usage Policies Notebook for Xenon Difluoride (XeF 2 ) Isotropic Si Etch Usage Policies Notebook for Xenon Difluoride (XeF 2 ) Isotropic Si Etch Revision date September 2014 2 Emergency Plan for XeF 2 Si Etcher Standard Operating Procedures for Emergencies Contact information

More information

NORDSON MARCH PX-1000 PLASMA ASHER STANDARD OPERATING PROCEDURE Version: 1.0 July 2016

NORDSON MARCH PX-1000 PLASMA ASHER STANDARD OPERATING PROCEDURE Version: 1.0 July 2016 NORDSON MARCH PX-1000 PLASMA ASHER STANDARD OPERATING PROCEDURE Version: 1.0 July 2016 UNIVERSITY OF TEXAS AT ARLINGTON Nanotechnology Research Center TABLE OF CONTENTS 1. Introduction..3 1.1 Scope of

More information

Trion PECVD SOP IMPORTANT: NO PLASTIC, TAPE, RESISTS, OR THERMAL PASTE ARE ALLOWED IN THE CHAMBER

Trion PECVD SOP IMPORTANT: NO PLASTIC, TAPE, RESISTS, OR THERMAL PASTE ARE ALLOWED IN THE CHAMBER Trion PECVD SOP IMPORTANT: NO PLASTIC, TAPE, RESISTS, OR THERMAL PASTE ARE ALLOWED IN THE CHAMBER CAUTION: THE CHAMBER PLATE GETS EXTREMELY HOT Start Up Procedure 1) Open bottle and regulator for Helium,

More information

Title: Xactix XeF2 Etcher Semiconductor & Microsystems Fabrication Laboratory Revision: A Rev Date: 03/23/2016

Title: Xactix XeF2 Etcher Semiconductor & Microsystems Fabrication Laboratory Revision: A Rev Date: 03/23/2016 Approved by: Process Engineer / / / / Equipment Engineer 1 SCOPE The purpose of this document is to detail the use of the Xactix XeF2 Etcher. All users are expected to have read and understood this document.

More information

Evaluating Surface Wettability for SET-NA Ontos7 Atmospheric Plasma Treated Samples

Evaluating Surface Wettability for SET-NA Ontos7 Atmospheric Plasma Treated Samples Evaluating Surface Wettability for Plasma Treated Samples October 19, 2017 Published with the kind permission of Ultra Communications 1 Purpose Evaluate the surface preparation of the atmospheric plasma

More information

Usage Policies Notebook for AMST Molecular Vapor Deposition System MVD 100

Usage Policies Notebook for AMST Molecular Vapor Deposition System MVD 100 Usage Policies Notebook for AMST Molecular Vapor Deposition System MVD 100 Revision date September 2014 2 Emergency Plan for AMST MVD 100 Standard Operating Procedures for Emergencies Contact information

More information

Standard Operating Manual

Standard Operating Manual Standard Operating Manual Branson IPC 3000 O 2 Asher Copyright 2014 by Hong Kong University of Science & Technology. All rights reserved. Page 1 Contents 1. Picture and Location 2. Process Capabilities

More information

SSI Solaris 150 RTA Revision /27/2016 Page 1 of 9. SSI Solaris 150 RTA

SSI Solaris 150 RTA Revision /27/2016 Page 1 of 9. SSI Solaris 150 RTA Page 1 of 9 SSI Solaris 150 RTA The Solaris 150 RTA is a rapid thermal annealing system capable of handling sample sizes up to 100mm (4 diameter) or smaller. The system can anneal in N 2 and Forming gas

More information

COMELEC C-30-S Parylene Coating System

COMELEC C-30-S Parylene Coating System COMELEC C-30-S Parylene Coating System Comelec C-30-S Parylene deposition system Introduction Parylene is a polymere deposited at room temperature in a vacuum chamber (few µb). Parylene coating is perfectly

More information

Standard Operating Manual

Standard Operating Manual NANOELECTRONICS FABRICATION FACILITY (NFF), HKUST Standard Operating Manual STS ICP-DRIE Copyright 2014 by Hong Kong University of Science & Technology. All rights reserved. Page 1 NANOELECTRONICS FABRICATION

More information

SINGULUS TECHNOLOGIES

SINGULUS TECHNOLOGIES Fast Vacuum for Optical Disc Metallization and Photovoltaic Coatings B. Cord, M. Hoffmann, O. Hohn, F. Martin SINGULUS Technologies AG, Kahl am Main SINGULUS TECHNOLOGIES June 2014 SINGULUS Overview 25-June-2014-2

More information

Leaks, contamination and closed loop control how RGAs make coating processes more profitable

Leaks, contamination and closed loop control how RGAs make coating processes more profitable Leaks, contamination and closed loop control how RGAs make coating processes more profitable Backup: What s an RGA Residual Gas Analyzer An instrument that is measuring the gas composition in a chamber

More information

SEM LEO 1550 MANUAL. I. Introduction. Generality

SEM LEO 1550 MANUAL. I. Introduction. Generality SEM LEO 1550 MANUAL RESERVATION POLICY: 2 booking slots maximum per day and per person (ie. 1h). 6 booking slots maximum per week and per person (ie. 3h). Reservation names must correspond to the operators.

More information

Photolithography. Operating Instructions

Photolithography. Operating Instructions Photolithography Operating Instructions The PR used during this laboratory session will be Microposit S1813 (from Shipley). Make sure everyone is following the laboratory protocol. Wear lab coats, safety

More information

Unaxis PECVD. SiH4 (5% in He)

Unaxis PECVD. SiH4 (5% in He) Unaxis PECVD Table of Contents: I: Introduction II: Machine Specifications III: System Components IV: Deposited Materials and Precursor Gases V: Operating Instructions VI: Creating a Recipe VII: Troubleshooting

More information

Nanofabrication Facility: ECR Etcher SOP Rev. 01b, March 06. Standard Operating Procedure for PlasmaQuest ECR II Etching

Nanofabrication Facility: ECR Etcher SOP Rev. 01b, March 06. Standard Operating Procedure for PlasmaQuest ECR II Etching Standard Operating Procedure for PlasmaQuest ECR II Etching Authors: Rev. 00: Al Schmalz, Vighen Pacradouni and Jeff Young, December 21, 1998 Rev. 01: Dr. Andras G. Pattantyus-Abraham, May 24, 2005 Rev.

More information

Standard Operating Procedure. For. PVD E-Beam

Standard Operating Procedure. For. PVD E-Beam P a g e 1 Standard Operating Procedure For PVD E-Beam P a g e 2 Introduction The PVD Electron-Beam Evaporator (E-Beam) thin film deposition machine uses a magnetically guided and collimated stream of electrons

More information

JETFIRST 150 RTA SYSTEM OPERATING MANUAL Version: 2 Feb 2012

JETFIRST 150 RTA SYSTEM OPERATING MANUAL Version: 2 Feb 2012 JETFIRST 150 RTA SYSTEM OPERATING MANUAL Version: 2 Feb 2012 UNIVERSITY OF TEXAS AT ARLINGTON Nanofabrication Research and Teaching Facility TABLE OF CONTENTS 1. Introduction....2 1.1 Scope of Work.....2

More information

Thermo K-Alpha XPS Standard Operating Procedure

Thermo K-Alpha XPS Standard Operating Procedure Thermo K-Alpha XPS Standard Operating Procedure Quick Guide Draft v.0.1 Procedure overview 1. Vent the loadlock 2. Secure your sample to the stage using clips, check the height of the final assembly. 3.

More information

Nanofabrication Facility: PECVD SOP Rev. 00, April 24

Nanofabrication Facility: PECVD SOP Rev. 00, April 24 Author: Charlie Yao & Mario Beaudoin Email: charlieyao@gmail.com; Beaudoin@physics.ubc.ca Phone: 604-822-1853(MB). Purpose This document outlines the standard operation for the Trion Plasma Enhanced Chemical

More information

STS PECVD Instructions

STS PECVD Instructions STS PECVD Instructions I. Introduction A PECVD (Plasma Enhanced Chemical Vapor Deposition) reacts gases in a RF- (Radio Frequency) - induced plasma to deposit materials such as SiO 2 and Si X N Y. This

More information

Standard Operating Manual

Standard Operating Manual Standard Operating Manual Branson IPC 3000 O 2 Asher Page 1 of 14 Contents 1 Picture and Location 2 Process Capabilities 2.1 Cleanliness Standard 2.2 Recipes 2.3 Performance of Branson IPC 3000 O 2 Asher

More information

University of Minnesota Nano Fabrication Center Standard Operating Procedure

University of Minnesota Nano Fabrication Center Standard Operating Procedure Equipment Name: TYLAN Furnace Coral Name: tylan Revision Number: 5 Model: titian Revisionist: Tony Whipple Location: Bay 1 Date: 7 Nov 2006 1 Description The Tylan system is a furnace system for oxidation,

More information

Indian Institute of Technology Kanpur Samtel Centre for Display Technologies

Indian Institute of Technology Kanpur Samtel Centre for Display Technologies Fax: + 91-0512-2596620 Phones: + 91-0512-2596622,6088 Indian Institute of Technology Kanpur Samtel Centre for Display Technologies Enquiry number: SCDT/FlexE/2016-17/02 Date:05/05/2016 Sealed Quotations

More information

The SPI Sputter Coater Handbook

The SPI Sputter Coater Handbook The SPI Sputter Coater Handbook Coating of Specimens SPI-Module Sputter Coater with Etch Mode 1. Mount the specimens onto the SEM stub. Keep in mind that many adhesives have high vapor pressure solvents

More information

Arizona State University Center for Solid State Electronics Research Issue: E Title: Heat Pulse 610 Operating Procedure Page 1 of 7

Arizona State University Center for Solid State Electronics Research Issue: E Title: Heat Pulse 610 Operating Procedure Page 1 of 7 Title: Heat Pulse 610 Operating Procedure Page 1 of 7 Table of Contents 1.0 Purpose/Scope... 2 2.0 Reference Documents... 2 2.1 Heat Pulse Operations Manual... 2 2.2 Solaris software Operations Manual...

More information

Oerlikon Sputtering Evaporator SOP

Oerlikon Sputtering Evaporator SOP Oerlikon Sputtering Evaporator SOP Short UNT Cleanroom 1. Taking out sample holder from Transport Chamber : Log in FOM to access the software Go to the software and log in with user1 and password user1

More information

March Asher Operation

March Asher Operation March Asher Operation Roger Robbins 7/31/2006 The University of Texas at Dallas Erik Jonsson Engineering School of Engineering TITLE: March Asher Operation Page 1 of 13 March Asher Operation Roger Robbins

More information

Usage Policies Notebook for NanoFurnace Furnace (EasyTube 3000 System)

Usage Policies Notebook for NanoFurnace Furnace (EasyTube 3000 System) Usage Policies Notebook for NanoFurnace Furnace (EasyTube 3000 System) Revision date October 2014 2 Emergency Plan for Nano Furnace Standard Operating Procedures for Emergencies Contact information Person

More information

Karl Suss MA6 Mask Aligner SOP

Karl Suss MA6 Mask Aligner SOP Page 1 of 11 Karl Suss MA6 Mask Aligner SOP Safety UV Exposure: The high energy light produced by the high pressure Mercury Xenon lamp can cause eye damage and skin burns. Be sure that the light guards

More information

Angstrom Dielectric Sputterer Operation Manual

Angstrom Dielectric Sputterer Operation Manual Angstrom Dielectric Sputterer Operation Manual I. System overview The Angstrom Dielectric Sputterer (ADS) has a similar interface as the Angstrom metal sputterer. It has two screens, the process screen

More information

JASCO 810 CD SPECTROPLOARIMETER STANDARD OPERATING PROCEDURE

JASCO 810 CD SPECTROPLOARIMETER STANDARD OPERATING PROCEDURE JASCO 810 CD SPECTROPLOARIMETER STANDARD OPERATING PROCEDURE Purpose of this Instrument: This instrument is for measuring differences in the absorption of lefthanded polarized light versus right-handed

More information

Standard Operating Procedure Inductively Coupled Plasma Optical Emission Spectrometer (ICP-OES) - Thermo Scientific icap 6300

Standard Operating Procedure Inductively Coupled Plasma Optical Emission Spectrometer (ICP-OES) - Thermo Scientific icap 6300 Standard Operating Procedure Inductively Coupled Plasma Optical Emission Spectrometer (ICP-OES) - Thermo Scientific icap 6300 The Thermo Scientific icap 6300 Inductively Coupled Plasma Optical Emission

More information

Standard Operating Manual

Standard Operating Manual Standard Operating Manual ARC12M Sputter Copyright 11.2015 by Hong Kong University of Science & Technology. All rights reserved. Page 1 Contents 1. Picture and Location 2. Process Capabilities 2.1 Cleanliness

More information

MJB4 Mask Aligner Operating Procedure. Effective Date: 07/12/2012 Author(s): Jiong Hua Phone:

MJB4 Mask Aligner Operating Procedure. Effective Date: 07/12/2012 Author(s): Jiong Hua Phone: MJB4 Mask Aligner Operating Procedure Effective Date: 07/12/2012 Author(s): Jiong Hua Phone: 402-472-3773 Email: jhua2@unl.edu 1 1 Introduction 1.1 Key Words Karl Suss MJB4 Mask Aligner, Optical Lithography,

More information

Arizona State University NanoFab XACTIX ETCHER. Rev A

Arizona State University NanoFab XACTIX ETCHER. Rev A Arizona State University NanoFab XACTIX ETCHER Rev A Table of Contents Contents Table of Contents... 1 1. Purpose / Scope... 2 2. Reference Documents... 2 3. Equipment / Supplies / Material... 2 4. Safety...

More information

Unifilm Technology PVD-300 Sputter Deposition Operation Instructions

Unifilm Technology PVD-300 Sputter Deposition Operation Instructions Unifilm Technology PVD-300 Sputter Deposition Operation Instructions Contributors: Devin Brown, Kevin Klein, Ben King, Eric Woods Anything that is BOLD UNDERLINED ITALICS means that you should press that

More information

Process: Chlorine etch. Item Qty Description Price/Unit Total

Process: Chlorine etch. Item Qty Description Price/Unit Total To: University of Colorado Issued: November 25, 2015 Dept. of ECEE Expiry date: February 23, 2016 Boulder CO 80309-0425 Sales Contact: Paul Sadlek Attn: USA Won Park Phone: 303-735-3601 Project: Apex SLR

More information

Standard Operating Manual

Standard Operating Manual Standard Operating Manual Denton Explorer 14 RF/DC Sputter Version 1.0 Page 1 of 11 Contents 1. Picture and Location 2. Process Capabilities 1. Cleanliness Standard 2. Available for Sputtering Materials

More information

The HumiPyc - Model 1 - Gas Pycnometer; Density, Moisture, Permeation Analyzer; RH sensor Calibrator

The HumiPyc - Model 1 - Gas Pycnometer; Density, Moisture, Permeation Analyzer; RH sensor Calibrator The HumiPyc - Model 1 - Gas Pycnometer; Density, Moisture, Permeation Analyzer; RH sensor Calibrator Designed, built, and supported by InstruQuest Inc. Temperature controlled, multi-technique volumetric

More information

In Response to a Planned Power Outage: PPMS EverCool II Shut Down and Re-start Procedure

In Response to a Planned Power Outage: PPMS EverCool II Shut Down and Re-start Procedure PPMS Service Note 1099-412 In Response to a Planned Power Outage: PPMS EverCool II Shut Down and Re-start Procedure Introduction: Loss of electricity to the PPMS EverCool II should not cause damage to

More information

5.1.3 Mechanical Hazards Drive assemblies have sufficient power to cause injury. Keep hands, fingers, clothing and tools clear of moving parts.

5.1.3 Mechanical Hazards Drive assemblies have sufficient power to cause injury. Keep hands, fingers, clothing and tools clear of moving parts. Approved by: Process Engineer / / / / Equipment Engineer 1 SCOPE The purpose of this document is to detail the use of the PE4400. All users are expected to have read and understood this document. It is

More information

The MRL Furnaces USED FOR THIS MANUAL COVERS

The MRL Furnaces USED FOR THIS MANUAL COVERS The MRL Furnaces USED FOR 1. Making Silicon Oxide films from 10nm to 2um thickness 2. Annealing with temperatures from 30 800C and times from 5min to 2days 3. Diffusion with temperatures from 30 1100C

More information

Plasma Asher: March PX-500 User guide (May-30, 2017)

Plasma Asher: March PX-500 User guide (May-30, 2017) Plasma Asher: March PX-500 User guide (May-30, 2017) This is a highly versatile plasma etch tool that can etch using a direct plasma configuration (Oxygen plasma cleaner), a downstream plasma (Remote plasma),

More information

Agilent Auxiliary Gas Module AGM 2. User s Guide

Agilent Auxiliary Gas Module AGM 2. User s Guide Agilent Auxiliary Gas Module AGM 2 User s Guide Notices Agilent Technologies, Inc. 1992, 1996, 1999, 2010 No part of this manual may be reproduced in any form or by any means (including electronic storage

More information

AX5000 Operational Manual

AX5000 Operational Manual MIYACHI AMERICA CORPORATION The World Leader in Hermetic Sealing Systems AX5000 Operational Manual 0 Document #107-00092-001 Dec, 2013 AX5000 Operational Manual Miyachi America Corporation 1820 S. Myrtle

More information

1)! DO NOT PROCEED BEYOND THIS MARK

1)! DO NOT PROCEED BEYOND THIS MARK Operating Instructions for X-ray Photoelectron Spectrometer: Physical Electronics Model 555 XPS/AES (John H. Thomas, III, Ph.D., Electron Spectroscopy) Sample Insertion: figure 1. Sample insertion rod

More information

LEO SEM SOP Page 1 of 9 Revision 1.4 LEO 440 SEM SOP. Leica Leo Stereoscan 440i

LEO SEM SOP Page 1 of 9 Revision 1.4 LEO 440 SEM SOP. Leica Leo Stereoscan 440i LEO SEM SOP Page 1 of 9 LEO 440 SEM SOP Gun (Filament) Column Manual Valves Chamber Window Chamber Stage Movement Leica Leo Stereoscan 440i 1. Scope 1.1 This document provides the procedure for operating

More information

High Aspect Ratio DRIE on the STS ICP-RIE

High Aspect Ratio DRIE on the STS ICP-RIE High Aspect Ratio DRIE on the STS ICP-RIE Aspect Ratio Dependant Etching (ARDE) is the difference in the etch depth of features with varying areas of silicon. Areas with more open silicon etch faster compared

More information

Standard Operating Manual

Standard Operating Manual Standard Operating Manual Allwin21 AW610 RTP Page 1 of 18 Contents 1 Picture and Location 2 Process Capabilities 2.1 Cleanliness Standard 2.2 Recipes 2.3 Performance of Allwin21 AW610 RTP 3 Contact List

More information

Usage Policies Notebook for Karl Suss MA6 Mid / Deep UV Mask Aligner

Usage Policies Notebook for Karl Suss MA6 Mid / Deep UV Mask Aligner Usage Policies Notebook for Karl Suss MA6 Mid / Deep UV Mask Aligner Revision date September 2014 2 Emergency Plan for Karl Suss MA6 Aligner Standard Operating Procedures for Emergencies Contact information

More information

Investigation of Cr Etch Chamber Seasoning Pavel Nesladek a, Guenther Ruhl b, Marcel Kristlib b

Investigation of Cr Etch Chamber Seasoning Pavel Nesladek a, Guenther Ruhl b, Marcel Kristlib b Investigation of Cr Etch Chamber Seasoning Pavel Nesladek a, Guenther Ruhl b, Marcel Kristlib b a Advanced Mask Technology Center, Dresden, Germany, b Infineon Technologies AG, Mask House, Munich, Germany

More information

The HumiPyc ( Model 2) - Gas Pycnometer; Density, Moisture, Permeation Analyzer; Filter Integrity Tester; RH sensor Calibrator

The HumiPyc ( Model 2) - Gas Pycnometer; Density, Moisture, Permeation Analyzer; Filter Integrity Tester; RH sensor Calibrator The HumiPyc ( Model 2) - Gas Pycnometer; Density, Moisture, Permeation Analyzer; Filter Integrity Tester; RH sensor Calibrator Designed, built, and supported by InstruQuest Inc. Universal pycnometer, no

More information

Operating Procedures for Metal Evaporator I

Operating Procedures for Metal Evaporator I Operating Procedures for Metal Evaporator I Metal Evaporator I is intended as a tool and a training device. Understanding the operation of this equipment should give you a basic knowledge of vacuum and

More information

CircuFlow Quick Setup Guide

CircuFlow Quick Setup Guide CircuFlow 5200 Quick Setup Guide LB04.0001 Rev B 20140314 TABLE OF CONTENTS Setup Therapy Setup Gradient Mode Pressure Mode Lock Device Unlock Device Treatment 03 04 05 08 11 12 13 02 SETUP 01 01 Open

More information

Application Note. ASTRON Remote Plasma Source Ignition Best Practices PROBLEM

Application Note. ASTRON Remote Plasma Source Ignition Best Practices PROBLEM PROBLEM The ASTRON family of remote plasma sources produce reactive gas species for semiconductor device fabrication applications. Reliable ignition of the plasma in the ASTRON depends strongly on the

More information

UNITY 2 TM. Air Server Series 2 Operators Manual. Version 1.0. February 2008

UNITY 2 TM. Air Server Series 2 Operators Manual. Version 1.0. February 2008 UNITY 2 TM Air Server Series 2 Operators Manual Version 1.0 February 2008 1. Introduction to the Air Server Accessory for UNITY 2...2 1.1. Summary of Operation...2 2. Developing a UNITY 2-Air Server method

More information

Quartz etch process to improve etch depth linearity and uniformity using Mask Etcher IV

Quartz etch process to improve etch depth linearity and uniformity using Mask Etcher IV Quartz etch process to improve etch depth linearity and uniformity using Mask Etcher IV Sunil Srinivasan *, Jason Plumhoff, Russ Westerman, Dave Johnson, Chris Constantine Unaxis USA, Inc. 10050 16 th

More information

[needs to be discussed with faculty if any new material to be done]

[needs to be discussed with faculty if any new material to be done] Tool Name: EVO 18 Version 1 Authorized Users: Gayatri, Vishnu kant Materials Used: Sample holder has facility to mount 9 stubs: Si, Glass, Materials allowed : [needs to be discussed with faculty if any

More information

Plasma Sources and Feedback Control in Pretreatment Web Coating Applications

Plasma Sources and Feedback Control in Pretreatment Web Coating Applications Plasma Sources and Feedback Control in Pretreatment Web Coating Applications Joseph Brindley, Benoit Daniel, Victor Bellido-Gonzalez, Dermot Monaghan Gencoa Ltd., Physics Rd, L24 9HP Liverpool, UK (+44)

More information

Karl Suss MJB4 Mask Aligner

Karl Suss MJB4 Mask Aligner Karl Suss MJB4 Mask Aligner Tool Manager: Yong Sun ( yongs@princeton.edu; Office 8-8234; Cell 609-917-5076 ) Backup: George Watson ( gwatson@princeton.edu; Office 8-4626; Cell 732-996-2713 ) ******************************************************************************

More information

Refinements in the Plasma Processing of Polyimide and B.C.B.

Refinements in the Plasma Processing of Polyimide and B.C.B. Plasma is a state of matter represented by a collection of positively charged ions and negative electrons, capable of conducting electricity and absorbing energy from an electrical supply. Lightning and

More information

Lam Rainbow and TCP Product Status Checklist. System Type: Poly Etcher System Model: 4420 D.O.M.:

Lam Rainbow and TCP Product Status Checklist. System Type: Poly Etcher System Model: 4420 D.O.M.: System Type: Poly Etcher System Model: 4420 D.O.M.: Serial number: 3943 CTC Number: 1150 Machine Hours: ~29,428 1. System Control Software: Classic Envision 2. System Control Software Rev: Envision 1.5

More information

Chapter 2 General description of the system

Chapter 2 General description of the system Chapter 2 General description of the system This system is a high density plasma etching system having a C to C load-lock system and an ISM (Inductive Super Magnetron) plasma source. The system consists

More information

OPERATION OF LIQUID PARTICLE COUNTER. GP-B P0045 Rev -

OPERATION OF LIQUID PARTICLE COUNTER. GP-B P0045 Rev - 1 W. W. Hansen Experimental Physics Laboratory STANFORD UNIVERSITY STANFORD, CALIFORNIA 94305-4085 Gravity Probe B Relativity Mission OPERATION OF LIQUID PARTICLE COUNTER GP-B P0045 Rev - May 23, 1997

More information

Residual Gas Analysis Systems for Industry

Residual Gas Analysis Systems for Industry HIDEN RC SYSTEMS QUADRUPOLE MASS SPECTROMETERS FOR RGA, GAS ANALYSIS AND PROCESS MONITORING The HAL RC systems are designed for RGA, gas analysis and process monitoring applications including leak detection,

More information

OAI Model 200 Tabletop Mask Aligner Portland State University

OAI Model 200 Tabletop Mask Aligner Portland State University OAI Model 200 Tabletop Mask Aligner Portland State University WARNING: This machine exposes users to ultraviolet radiation. Do not touch the lens underneath the lamp hood as it may damage the machine and

More information

High Pressure Chem-SCAN Operating Manual

High Pressure Chem-SCAN Operating Manual GAS INLET VALVES REACTIVE GAS PRESSURE RELIEF VALVE INERT GAS VENT VENT VALVE REACTOR INLET VALVES PRESSURE TRANSDUCERS REACTORS STIRRER & THERMOWELL HEATING JACKET STIRRER MOTORS High Pressure Chem-SCAN

More information

EE 432 Lab 3 PMOS source/drain lithography and diffusion

EE 432 Lab 3 PMOS source/drain lithography and diffusion EE 432 Lab 3 PMOS source/drain lithography and diffusion Group Leader: Yue Zhang Group Numbers: Yueyi Jiao, Yin Huang, Lafit Masud TA: Andy Hoyt Section: NO. 5 Introduction: In this lab, we will perform

More information

Operation of the mask aligner MJB-55

Operation of the mask aligner MJB-55 John Paul Adrian Glaubitz Operation of the mask aligner MJB-55 Department of Physics Faculty of Mathematics and Natural Sciences University of Oslo 1 Introduction The mask aligner is an essential tool

More information

1.1 Equipment: substrate, wafer tweezers, metal targets 1.2 Personal Protective Equipment: nitrile gloves, safety glasses 1.

1.1 Equipment: substrate, wafer tweezers, metal targets 1.2 Personal Protective Equipment: nitrile gloves, safety glasses 1. Nanomaster NSC-3000 DC Magnetron Sputter Tool Standard Operating Procedure Faculty Supervisor: Prof. Robert White, Mechanical Engineering (x72210) Safety Office: Peter Nowak x73246 (Just dial this directly

More information

Quickstart Installation Checklist (Please refer to operation manual for complete installation instructions)

Quickstart Installation Checklist (Please refer to operation manual for complete installation instructions) Quickstart Installation Checklist (Please refer to operation manual for complete installation instructions) 1. Uncrate blender from packaging. 2. Lift the blender and position over machine throat or floor

More information