Operating Procedures for the. SAMCO ICP RIE System

Size: px
Start display at page:

Download "Operating Procedures for the. SAMCO ICP RIE System"

Transcription

1 Operating Procedures for the SAMCO ICP RIE System General Overview: The purpose of the SAMCO Model 200iP Inductively Coupled Plasma Reactive Ion Etcher (ICP RIE) is to etch III-V compound semiconductors using chlorine containing etch gases. To accomplish this objective, the ICP-RIE system utilizes highdensity plasma that is created through the use of two RF power supplies. In addition, the system utilizes a load lock chamber to reduce etch chamber pump-down times and also to prevent accidental exposure to chlorine containing gases and etch by-products when samples are removed from the system. The SAMCO ICP RIE system consists of 5 main systems, each of which has multiple components. Each system will be discussed in the following SOP. IMPORTANT: You should include an hour of time in your reservation to perform a pre-etch clean and a few dummy runs before running your samples. A pre-etch clean should be performed to ensure a consistent chamber starting state. The dummy runs should be run using the same recipe as your samples. The dummy runs are necessary to condition the chamber and achieve more repeatable results. Extra time may also be needed for the chuck temperature to stabilize if your recipe runs at a significantly different temperature than the standard 40 C setpoint. A post-etch clean may also be required, depending on the specific recipe you are running. If you have any problems, please contact Eric Lim (eslim@mtl.mit.edu, x3-6897) or Bob Bicchieri (bic@mtl.mit.edu, x ). 1

2 Table of Contents General Overview: Software... 4 SAMCO Interface and Data Entry... 4 Set Mode Screen... 5 Main Screen... 6 Set Edit Screen Vacuum Systems:... 8 Load Lock... 8 Etch Chamber RF Power Supplies Gas Delivery System Miscellaneous Systems Electrostatic Chuck Helium Backside Cooling System Julabo Circulator Pressure Conversion Chart

3 Operation 1) Reserve and engage the SAMCO on CORAL a) CORAL disables the vent function on the load lock, so you need to engage to load or unload your samples. 2) Verify that the system is not in use 3) If necessary, set the Julabo circulator to the desired temperature (40 C is normal) 4) Load the wafer carrier into the chamber and run the pre-etch clean (recipe #91) 5) Unload the wafer carrier 6) Vent the load lock 7) Load your dummy wafer or samples a) If you are running a whole 6 wafer, remove the carrier and load your wafer. b) If you are running pieces, load your pieces onto the carrier. Also, loading dummy pieces of the same composition as your samples will reduce edge and etch loading effects and improve etch uniformity. c) To achieve the best results the etch chamber should be conditioned prior to etching any device wafers. The etch chamber can be conditioned by running the desired etch recipe on dummy wafers for an extended amount of time. 8) With the wafer or carrier in the etch chamber, run the desired recipe 9) Unload the wafer or carrier 10) Repeat steps 6-9 on more dummy wafers or your samples as needed. 11) Vent the load lock and remove the samples 12) Place the empty wafer carrier back in the load lock. 13) Pump down the load lock 14) Depending on the recipe you used, you may need to run a post etch clean. 15) If necessary, set the Julabo circulator temperature to 40 C If you have any problems, please contact Eric Lim (eslim@mtl.mit.edu, x3-6897) or Bob Bicchieri (bic@mtl.mit.edu, x ). 3

4 1. Software SAMCO Interface and Data Entry The SAMCO uses a touch screen interface. General options, valve states and field selection are toggled by touching the appropriate field on the screen. Alpha-numeric data is entered into the SAMCO control program by selecting the appropriate field using the touch screen, spinning the rotary dial below to select the correct value/character, then pressing the Set button. If the Set button is not pressed, the new data is not entered into the selected field and that field reverts back to its previous value when a different field is selected. 4

5 Set Mode Screen The Set Mode Screen allows the sequence of operations to be controlled. In the AUTO sequence, there are five steps (at least one step must be selected at all times.): 1) Load lock Chamber Pump Down 2) Wafer Load 3) Run a Process using Recipe (##) 4) Wafer Unload 5) Load lock Chamber Vent. In addition, the mode of operation can be selected. To enter different modes you will need to enter the correct passcode. Normal users will run the system in automatic mode. Manual and Service modes are for staff use only. Pressing the MAIN button at the upper left corner of the display will bring you to the Main screen 5

6 Main Screen The Main screen displays the status of all the valves, pressure gauges, turbo pumps, gas flows, RF power supplies He back side cooling system and active recipe. In the figure above, the various sub-systems are highlighted (Color added for clarity. The screen on the tool is monochromatic). The gas delivery system is highlighted in red, the load-lock vacuum system is highlighted in yellow, the etch chamber vacuum system is highlighted in light blue, the RF system is enclosed by purple boxes and the He backside cooling system and electrostatic chuck are highlighted in green. The status of the interlock and warning conditions are also displayed on the Main Screen. In normal operation only the PS (pneumatic pressure Sensor) and FS (Cooling water flow sensor) should be lit. The TC (gas line heater over temperature), TC2 (exhaust gas line heater over temperature) indicators should be off, and the RBT (robot) status indicator should be on only when the robot arm is active. The Main screen also is used to start a sequence/recipe by pressing and holding the RUN button in the upper right hand corner of the touch screen. When the system is operated manually, the valves are opened and closed by touching the valve on the touch screen. Pressing the SET button in the upper left corner of the display switches back to the Set screen 6

7 Set Edit Screen Recipes are entered in the Edit Screen. The ESC voltage and He pressure are set for the each recipe independently but do not vary from step to step within each recipe. For each step in a recipe, the gas flows, step times, ICP power, bias power and pressure/gate valve position can be controlled. In addition, steps can be looped and at the completion of this recipe, another recipe can be executed automatically. The recipe ends at the first step in the recipe with a time of 0:00:00. The operation of the main gate valve can be controlled in one of two methods: pressure control or position control. In pressure control, the chamber pressure is set and the gate valve position is adjusted to achieve the desired pressure. In position control, the gate valve position is set and the pressure will vary depending on the gas flow. The use of pressure control is recommended. By using the control in the lower right hand corner, entire recipes or a single step of an existing recipe can be copied into the recipe that is being edited. 7

8 2. Vacuum Systems: Load Lock The load lock vacuum system serves two purposes, (1) to pump out the load lock chamber in order to introduce samples into the main etch chamber and (2) to pump out the etch chamber when necessary. The items associated with the Load Lock are enclosed within the yellow box. In standby, the load lock is pumped using a rotary vane roughing pump (RP2) through valve BV3. In order to pump the load lock chamber from atmospheric pressure to base vacuum, valve BV3 is opened allowing the roughing pump to evacuate the chamber. Pressure gauge XG is used to monitor the load lock pressure. In order to vent the load lock, first valve BV3 is closed, then nitrogen is introduced into the chamber in two stages through the valves LV3 and LV2. Nitrogen is first introduced into the chamber at a low flow rate via a needle valve and valve LV3. At a sufficiently high pressure, the nitrogen flow rate is increased via opening valve LV2 and closing valve LV3. 8

9 Etch Chamber The etch chamber vacuum system is design to provide a suitable environment for the etching of III-V compound semiconductors and to safely remove the etch by-products and residual gases from the chamber. The items associated with the etch chamber are enclosed within the light blue boxes. In stand-by, the etch chamber is pumped using a turbo pump (TMP) and a dry roughing pump (DRP1) via valves BV2 and CGV. The conductance through main gate valve (CGV) can be controlled via an automatic gate valve controller. The gate valve controller adjusts the position of the CGV to reach and maintain the set pressure of the etch chamber during the etch steps. The position of the main gate valve (CGV) should be recorded when recipes are being executed in order to monitor the performance of the turbo pump and the dry roughing pump. The CGV should be 100% open unless a recipe is being run. When the corrosive gases are flowing, nitrogen is introduced into the turbo pump via valve TPV. The nitrogen flow is displayed beneath the TPV valve indicator. The etch chamber is vented with nitrogen through valve LV1 and is purged with air through valve LVA1. The etch chamber is purged with air prior to opening the etch chamber to prevent the accidental exposure of toxic/corrosive gases. Initial pump down of the etch chamber from atmosphere is done with the load lock roughing pump RP2 through valve BV1. Once the crossover pressure has been reached, the system switches to normal standby pumping using the turbopump TMP and dry rough pump DRP1. 9

10 The pressure within the etch chamber is monitored via three pressure gauges: an ionization gauge (IG), the main pressure gauge (DG1) and a pressure monitor (DG3). The ionization gauge and the main pressure gauge have isolation valves (ISOV) associated with them. The ionization gauge is typically off and its isolation valve is typically closed. The isolation valve to the main pressure gauge is typically open. The main pressure gauge (DG1) displays the current pressure within the box with the solid lines while the pressure set point is displayed within the box with the dashed lines. While running a recipe, these two readings should be identical. The pressure from the DG1 provides the feedback necessary to control the position of the main gate valve (CGV). 3. RF Power Supplies The ICP RIE system contains two RF power supplies, the ICP RF power supply and the RF bias power supply. The two RF power supply sections are highlighted by purple boxes. Both RF power supplies have automatic tuning circuits to minimize the reflected power. The RF set points, reflected power and forward power are displayed in the upper right hand corner of the screen. 10

11 4. Gas Delivery System The ICP RIE system has three corrosive gases and five non-corrosive gases for etching. The corrosive gases are chlorine (Cl 2 ), boron trichloride (BCl 3 ) and silicon tetrachloride (SiCl 4 ). The non-corrosive etch gases are argon (Ar), nitrogen (N 2 ), trifluoromethane (CHF 3 ) and oxygen (O 2 ). The fifth non-corrosive gas is helium (He) which is used to control the sample temperature during the etch and is discussed in section 5. The gas delivery system is enclosed within the red box. Each of the six processing lines has an upstream valve (GSV#) and a downstream valve (GV#) associated with it where # designated the line number along with a mass flow controller. The MFC set point is the number within the box with the dashed lines while the actual flow is displayed within the box with the solid lines. The maximum flow for each gas is shown in the following table. Gas # gas Cl 2 BCl 3 SiCl 4 Ar N 2 CHF 3 O 2 max flow

12 5. Miscellaneous Systems Two additional sub-systems are highlighted in the figure below. The first subsystem is associated with the electrostatic chuck (ESC). The second sub system is the He backside cooling system. Electrostatic Chuck The electrostatic chuck is used to hold the sample carrier to the lower electrode in the system. The standard operational voltage for the electrostatic chuck is 800V. Helium Backside Cooling System The Helium backside cooling system is used to control the sample temperature during etching. Helium flows behind the sample in order to maintain the sample at a particular temperature during etching. The He flow is controlled via an automatic valve positioner (PCV) along with a needle valve and pneumatic valves HV1, HV2 and HV3. Valve HV1 is the He shut-off valve. When valve HV2 is open, the He back-side cooling sub-system is pumped out via the etch chamber turbo pump (TMP). When the He 12

13 backside cooling system is being used, He flows beneath the sample through valve HV3 and it associated needle valve along with the automatic valve positioner. The position of the automatic valve (PCV) is to be recorded. Pressure gauge DG2 monitors the pressure within He backside cooling sub-system and hence provides the control signal to the automatic valve positioner. The temperature of the chuck is controlled via the external Julabo circulator. Julabo Circulator The Julabo circulator ultimately controls the temperature of He for the back side cooling system. The circulator allows the sample temperature to be controlled from 30 C to 220 C. The lower limit is determined by the circulator s cooling capacity and the amount of power/heat that is being generated by the plasma within the chamber. The circulator itself is located in the service chase, while the control unit (shown above) is placed on the wall behind the SAMCO ICP RIE system. The ICP RIE system itself DOES NOT control or set the temperature of the Julabo circulator. However, the temperature is displayed above the ICP RIE s touch screen. Due to the nature of the circulating fluid, the temperature should not be increased or decreased more than 50C in a single step. The circulating fluid flows from the Julabo circulator in the service chase to the ICP RIE system through insulated lines. 13

14 In general, due to the low vapor pressure of InCl 3, indium containing III-V semiconductors are etched at higher temperatures than III-V semiconductors that do not contain indium. In order to reach the temperature needed to etch Indium containing III-V compounds, the set point on the Julabo circulator must be ramped up and down. Programming the Julabo Circulator: For temperatures below 80C: By pressing the T button on the front panel, the set point can be changed. After entering the new set point, the button must be pressed to enter the new set point. This sequence should only be used if the circulator set point is to be changed by less than 50C. For temperatures above 80C: The Julabo control has two preprogrammed temperature profiles and one partially programmed temperature profile within its memory. The preprogrammed profile #0 and #1 ramp the circulator temperature to 100C and 220C respectively. The time at 100C or 220C is currently set at 1 hour. The following steps starts cause the circulator to start executing profile #0 or profile #1: 1) Press MENU 2) Scroll down to Profile Start and press 3) Enter the desired profile number and press 4) Verify that the profile starts at step #0; Adjust if necessary 5) Verify that the profile loops only 1 time; Adjust if necessary 6) Enter yes to Start the profile and press Profile #2 is used to adjust the circulator to an arbitrary temperature for an arbitrary amount of time. The following steps are used to modify profile #2: 1) Press MENU 2) Scroll down to Int. Programmer and press 3) Scroll to Edit and press 4) Change to profile number to 2. Press 5) Select Step and enter step number 1. Press 6) Select Setp and enter the ending temperature of the step. Press 7) Select Time and enter the step time. Press Make sure that the circulator ramp rate is less than 10deg C/min 8) Repeat instructions 5 to 7 to enter additional steps into the profile. 9) Press ESC to exit this subroutine. 10) Scroll down to Profile Start and press 11) Enter the desired profile number and press 12) Verify that the profile starts at step #0; Adjust if necessary 13) Verify that the profile loops only 1 time; Adjust if necessary 14) Enter yes to Start the profile and press 14

15 Pressure Conversion Chart Pascals mtorr Pascals mtorr

Nanofabrication Facility: ECR Etcher SOP Rev. 01b, March 06. Standard Operating Procedure for PlasmaQuest ECR II Etching

Nanofabrication Facility: ECR Etcher SOP Rev. 01b, March 06. Standard Operating Procedure for PlasmaQuest ECR II Etching Standard Operating Procedure for PlasmaQuest ECR II Etching Authors: Rev. 00: Al Schmalz, Vighen Pacradouni and Jeff Young, December 21, 1998 Rev. 01: Dr. Andras G. Pattantyus-Abraham, May 24, 2005 Rev.

More information

Unaxis ICP/RIE SOP Revision 8 09/30/16 Page 1 of 5. NRF Unaxis ICP/RIE Etch SOP

Unaxis ICP/RIE SOP Revision 8 09/30/16 Page 1 of 5. NRF Unaxis ICP/RIE Etch SOP Page 1 of 5 NRF Unaxis ICP/RIE Etch SOP Unaxis Shuttlelock Reactive Ion Etcher with Inductively Coupled Plasma Module. Etch Capabilities: SiO2, Si3N4, Al, dielectrics and other commonly used materials.

More information

5.1.3 Mechanical Hazards Drive assemblies have sufficient power to cause injury. Keep hands, fingers, clothing and tools clear of moving parts.

5.1.3 Mechanical Hazards Drive assemblies have sufficient power to cause injury. Keep hands, fingers, clothing and tools clear of moving parts. Approved by: Process Engineer / / / / Equipment Engineer 1 SCOPE The purpose of this document is to detail the use of the PE4400. All users are expected to have read and understood this document. It is

More information

R I T. Title: STS ASE Semiconductor & Microsystems Fabrication Laboratory Revision: Original Rev Date: 01/21/ SCOPE 2 REFERENCE DOCUMENTS

R I T. Title: STS ASE Semiconductor & Microsystems Fabrication Laboratory Revision: Original Rev Date: 01/21/ SCOPE 2 REFERENCE DOCUMENTS Approved by: Process Engineer / / / / Equipment Engineer 1 SCOPE The purpose of this document is to detail the use of the STS ASE. All users are expected to have read and understood this document. It is

More information

Edge Isolation Tool. Standard Operating Procedure. Version 1.1. Date: Prepared by, Sandeep S S. Department of Electrical Engineering

Edge Isolation Tool. Standard Operating Procedure. Version 1.1. Date: Prepared by, Sandeep S S. Department of Electrical Engineering Edge Isolation Tool Standard Operating Procedure Version 1.1 Date: 20-9-2012 Prepared by, Sandeep S S Department of Electrical Engineering IIT Bombay This document is meant for internal circulation only

More information

Plasma-Therm PECVD. Operating Characteristics. Operating Instructions. Typical Processes. I. Loading. II. Operating

Plasma-Therm PECVD. Operating Characteristics. Operating Instructions. Typical Processes. I. Loading. II. Operating Plasma-Therm PECVD A PECVD (plasma enhanced chemical vapor deposition) reacts gases in a RF (radio frequency) induced plasma to deposit materials such as silicon dioxide and silicon nitride. This PECVD

More information

Notes-PECVD: Chamber 1

Notes-PECVD: Chamber 1 plasmatherm (EML) STANDARD OPERATING PROCEDURE CORAL Name: Plasmatherm Model Shuttlelock System VII SLR-770/734 Number: Location: EML What it Deposits the following films via Plasma-Enhanced Chemical Vapor

More information

Basic ICP Operating Procedures

Basic ICP Operating Procedures Center for High Technology Materials 2 February, 2009 University of New Mexico Created by Beth Fuchs Basic ICP Operating Procedures INTRODUCTION: The ICP is an inductively coupled plasma etching system,

More information

PLASMA ENHANCED CHEMICAL VAPOR DEPOSITION (PECVD) SOP OXFORD PLASMALAB SYSTEM 100

PLASMA ENHANCED CHEMICAL VAPOR DEPOSITION (PECVD) SOP OXFORD PLASMALAB SYSTEM 100 PLASMA ENHANCED CHEMICAL VAPOR DEPOSITION (PECVD) SOP OXFORD PLASMALAB SYSTEM 100 June 2013 Interface Overview. The Oxford software is divided into 5 main screens. 1) Pump Control page 2) Recipe page 3)

More information

OXFORD PLASMALAB 80PLUS (CLOEY)

OXFORD PLASMALAB 80PLUS (CLOEY) Arizona State University NanoFab OXFORD PLASMALAB 80PLUS (CLOEY) Rev D Table of Contents Contents Table of Contents...1 1. Purpose / Scope...2 2. Reference Documents...2 3. Equipment / Supplies / Material...2

More information

University of MN, Minnesota Nano Center Standard Operating Procedure

University of MN, Minnesota Nano Center Standard Operating Procedure Equipment name: STS Etcher Badger name: STS Revision number: 3 Model: 320 Revisionist: Paul Kimani Location: Bay 3 Date: 1 October 2013 A. Description The 320 is a manually loaded batch plasma etching

More information

Standard Operating Manual

Standard Operating Manual Standard Operating Manual Oxford Plasmalab 80 Plus Plasma Etcher Page 1 of 24 Contents 1. Picture and Location 2. Process Capabilities 2.1 Cleanliness Standard 2.2 Available Etching Materials 2.3 Performance

More information

JETFIRST 150 RTA SYSTEM OPERATING MANUAL Version: 2 Feb 2012

JETFIRST 150 RTA SYSTEM OPERATING MANUAL Version: 2 Feb 2012 JETFIRST 150 RTA SYSTEM OPERATING MANUAL Version: 2 Feb 2012 UNIVERSITY OF TEXAS AT ARLINGTON Nanofabrication Research and Teaching Facility TABLE OF CONTENTS 1. Introduction....2 1.1 Scope of Work.....2

More information

Arizona State University Center for Solid State Electronic Research. Table of Contents. Issue: C Title: Oxford Plasmalab 80plus (Floey) Page 1 of 8

Arizona State University Center for Solid State Electronic Research. Table of Contents. Issue: C Title: Oxford Plasmalab 80plus (Floey) Page 1 of 8 Title: Oxford Plasmalab 80plus (Floey) Page 1 of 8 Table of Contents 1.0 Purpose/Scope... 2 2.0 Reference Documents... 2 3.0 Equipment/Supplies/Material... 2 4.0 Safety... 2 5.0 Set Up Procedures... 2

More information

STS ICP-RIE. Scott Munro (2-4826,

STS ICP-RIE. Scott Munro (2-4826, STS ICP-RIE LOCATION: Plasma Etch Area PRIMARY TRAINER: Scott Munro (2-4826, email@address.com) 1. OVERVIEW The STS ICP-RIE is available to users who require deep anisotropic silicon etching with near

More information

Usage Policies Notebook for Trion RIE / ICP Dry Etch

Usage Policies Notebook for Trion RIE / ICP Dry Etch Usage Policies Notebook for Trion RIE / ICP Dry Etch Revision date September 2014 2 Emergency Plan for Trion RIE/ICP Dry Etch Standard Operating Procedures for Emergencies Contact information Person Lab

More information

Standard Operating Manual

Standard Operating Manual Standard Operating Manual ARC12M Sputter Copyright 11.2015 by Hong Kong University of Science & Technology. All rights reserved. Page 1 Contents 1. Picture and Location 2. Process Capabilities 2.1 Cleanliness

More information

Process: Chlorine etch. Item Qty Description Price/Unit Total

Process: Chlorine etch. Item Qty Description Price/Unit Total To: University of Colorado Issued: November 25, 2015 Dept. of ECEE Expiry date: February 23, 2016 Boulder CO 80309-0425 Sales Contact: Paul Sadlek Attn: USA Won Park Phone: 303-735-3601 Project: Apex SLR

More information

March CS-1701F Reactive Ion Etcher

March CS-1701F Reactive Ion Etcher March CS-1701F Reactive Ion Etcher Standard Operating Procedure Faculty Supervisor: Prof. Robert White, Mechanical Engineering (x72210) Safety Office: Peter Nowak x73246 (Just dial this directly on any

More information

Plasma Asher: March PX-500 User guide (May-30, 2017)

Plasma Asher: March PX-500 User guide (May-30, 2017) Plasma Asher: March PX-500 User guide (May-30, 2017) This is a highly versatile plasma etch tool that can etch using a direct plasma configuration (Oxygen plasma cleaner), a downstream plasma (Remote plasma),

More information

SPUTTER STATION STANDARD OPERATING PROCEDURE

SPUTTER STATION STANDARD OPERATING PROCEDURE SPUTTER STATION STANDARD OPERATING PROCEDURE Purpose of this Instrument: This instrument is used for deposition of thin metal or oxide films. Source materials supplied by WVU Shared Research Facilities:

More information

Usage Policies Notebook for STS DRIE System

Usage Policies Notebook for STS DRIE System Usage Policies Notebook for STS DRIE System Revision date September 2014 2 Emergency Plan for STS DRIE System Standard Operating Procedures for Emergencies Contact information Person Lab Manager Director

More information

Usage Policies Notebook for NanoFurnace Furnace (EasyTube 3000 System)

Usage Policies Notebook for NanoFurnace Furnace (EasyTube 3000 System) Usage Policies Notebook for NanoFurnace Furnace (EasyTube 3000 System) Revision date October 2014 2 Emergency Plan for Nano Furnace Standard Operating Procedures for Emergencies Contact information Person

More information

NORDSON MARCH PX-1000 PLASMA ASHER STANDARD OPERATING PROCEDURE Version: 1.0 July 2016

NORDSON MARCH PX-1000 PLASMA ASHER STANDARD OPERATING PROCEDURE Version: 1.0 July 2016 NORDSON MARCH PX-1000 PLASMA ASHER STANDARD OPERATING PROCEDURE Version: 1.0 July 2016 UNIVERSITY OF TEXAS AT ARLINGTON Nanotechnology Research Center TABLE OF CONTENTS 1. Introduction..3 1.1 Scope of

More information

BEST KNOWN METHODS. Transpector XPR3 Gas Analysis System. 1 of 6 DESCRIPTION XPR3 APPLICATIONS PHYSICAL INSTALLATION

BEST KNOWN METHODS. Transpector XPR3 Gas Analysis System. 1 of 6 DESCRIPTION XPR3 APPLICATIONS PHYSICAL INSTALLATION BEST KNOWN METHODS Transpector XPR3 Gas Analysis System DESCRIPTION The Transpector XPR3 is a third-generation, quadrupole-based residual gas analyzer that operates at PVD process pressures and is the

More information

Oxford Instruments Plasma Technology. PlasmaPro NGP80. Installation Data Sheet. Original Instructions.

Oxford Instruments Plasma Technology. PlasmaPro NGP80. Installation Data Sheet. Original Instructions. Oxford Instruments Plasma Technology www.oxford-instruments.com About this data sheet This data sheet provides basic information about the installation of a tool. Floor and wall loadings Table 1 lists

More information

Indian Institute of Technology Kanpur Samtel Centre for Display Technologies

Indian Institute of Technology Kanpur Samtel Centre for Display Technologies Fax: + 91-0512-2596620 Phones: + 91-0512-2596622,6088 Indian Institute of Technology Kanpur Samtel Centre for Display Technologies Enquiry number: SCDT/FlexE/2016-17/02 Date:05/05/2016 Sealed Quotations

More information

Arizona State University NanoFab PLASMATHERM 790 RIE. Version A

Arizona State University NanoFab PLASMATHERM 790 RIE. Version A rizona State University NanoFab PLSMTHERM 790 RIE Version SU NanoFab Title: PLSMTHERM 790 RIE Table of Contents Contents Table of Contents...1 1. Purpose / Scope...2 2. Reference Documents...2 3. Equipment

More information

MANUAL FOR SPTS APS (DIELECTRICS ETCHER)

MANUAL FOR SPTS APS (DIELECTRICS ETCHER) MANUAL FOR SPTS APS (DIELECTRICS ETCHER) To be read first: SPTS APS is an etcher dedicated to dielectrics (SiO 2, Si 3 N 4, glass types...). Dielectrics etching in AMS200 is no more CMi standard. AMS200

More information

Chapter 2 General description of the system

Chapter 2 General description of the system Chapter 2 General description of the system This system is a high density plasma etching system having a C to C load-lock system and an ISM (Inductive Super Magnetron) plasma source. The system consists

More information

University of MN, Minnesota Nano Center Standard Operating Procedure

University of MN, Minnesota Nano Center Standard Operating Procedure Equipment Name: University of MN, Minnesota Nano Center Deep Trench Etcher Badger Name: deeptrench Revision Number: 9 Model: SLR -770 Sofware Version: CORTEX v4.5 Revisionists: Paul Kimani Location: Bay

More information

Usage Policies Notebook for Xenon Difluoride (XeF 2 ) Isotropic Si Etch

Usage Policies Notebook for Xenon Difluoride (XeF 2 ) Isotropic Si Etch Usage Policies Notebook for Xenon Difluoride (XeF 2 ) Isotropic Si Etch Revision date September 2014 2 Emergency Plan for XeF 2 Si Etcher Standard Operating Procedures for Emergencies Contact information

More information

Nanofabrication Facility: PECVD SOP Rev. 00, April 24

Nanofabrication Facility: PECVD SOP Rev. 00, April 24 Author: Charlie Yao & Mario Beaudoin Email: charlieyao@gmail.com; Beaudoin@physics.ubc.ca Phone: 604-822-1853(MB). Purpose This document outlines the standard operation for the Trion Plasma Enhanced Chemical

More information

Standard Operating Manual

Standard Operating Manual Standard Operating Manual Branson IPC 3000 O 2 Asher Copyright 2014 by Hong Kong University of Science & Technology. All rights reserved. Page 1 Contents 1. Picture and Location 2. Process Capabilities

More information

Unifilm Technology PVD-300 Sputter Deposition Operation Instructions

Unifilm Technology PVD-300 Sputter Deposition Operation Instructions Unifilm Technology PVD-300 Sputter Deposition Operation Instructions Contributors: Devin Brown, Kevin Klein, Ben King, Eric Woods Anything that is BOLD UNDERLINED ITALICS means that you should press that

More information

Xactix XeF2 OPERATION MANUAL

Xactix XeF2 OPERATION MANUAL General Information The Xactix e-1 is a xenon difluoride (XeF 2) isotropic silicon etcher. XeF 2 is a vapor phase etch, which exhibits very high selectivity of silicon to photo-resist, silicon dioxide,

More information

University of Minnesota, MN Nano Center Standard Operating Procedure

University of Minnesota, MN Nano Center Standard Operating Procedure Equipment Name: HDPCVD Revision Number: 2 Badger Name: HDPCVD Revisionist: L. von Dissen Model: Advanced Vacuum Date: 10/25/2016 Apex SLR ICP Location: PAN, Bay 3 1 Description The Apex SLR ICP is a high

More information

SSI Solaris 150 RTA Revision /27/2016 Page 1 of 9. SSI Solaris 150 RTA

SSI Solaris 150 RTA Revision /27/2016 Page 1 of 9. SSI Solaris 150 RTA Page 1 of 9 SSI Solaris 150 RTA The Solaris 150 RTA is a rapid thermal annealing system capable of handling sample sizes up to 100mm (4 diameter) or smaller. The system can anneal in N 2 and Forming gas

More information

Cryo-Evaporator Operation

Cryo-Evaporator Operation Cryo-Evaporator Operation Cara Ricci November 25, 2003 Thin Film Deposition THE UNIVERSITY OF TEXAS AT DALLAS ERIK JOHNSON SCHOOL OF ENGINEERING DOCUMENT NUMBER: FA2003-TF-008 EDITION: 1.1 PAGE: 1 of 28

More information

STS DRIE User SOP Location: NRF Cleanroon, Dry Etch Bay

STS DRIE User SOP Location: NRF Cleanroon, Dry Etch Bay Page 1 of 20 STS DRIE User SOP Location: NRF Cleanroon, Dry Etch Bay Page 2 of 20 STS DRIE SOP The STS DRIE is an Inductively Coupled Plasma Process etcher designed and configured to etch deep high aspect

More information

Standard Operating Manual

Standard Operating Manual Standard Operating Manual Denton Explorer 14 RF/DC Sputter Version 1.0 Page 1 of 11 Contents 1. Picture and Location 2. Process Capabilities 1. Cleanliness Standard 2. Available for Sputtering Materials

More information

Trion PECVD SOP IMPORTANT: NO PLASTIC, TAPE, RESISTS, OR THERMAL PASTE ARE ALLOWED IN THE CHAMBER

Trion PECVD SOP IMPORTANT: NO PLASTIC, TAPE, RESISTS, OR THERMAL PASTE ARE ALLOWED IN THE CHAMBER Trion PECVD SOP IMPORTANT: NO PLASTIC, TAPE, RESISTS, OR THERMAL PASTE ARE ALLOWED IN THE CHAMBER CAUTION: THE CHAMBER PLATE GETS EXTREMELY HOT Start Up Procedure 1) Open bottle and regulator for Helium,

More information

STS PECVD Instructions

STS PECVD Instructions STS PECVD Instructions I. Introduction A PECVD (Plasma Enhanced Chemical Vapor Deposition) reacts gases in a RF- (Radio Frequency) - induced plasma to deposit materials such as SiO 2 and Si X N Y. This

More information

2 Switching off ECRIS 3. 4 Acquire Beam Spectrum 4. 6 Vent Instrument Chamber 5. 7 Pump down Chamber 5. 8 Baking out Chamber 5.

2 Switching off ECRIS 3. 4 Acquire Beam Spectrum 4. 6 Vent Instrument Chamber 5. 7 Pump down Chamber 5. 8 Baking out Chamber 5. Contents 1 Starting the ECR ion source (ECRIS) 2 2 Switching off ECRIS 3 3 Starting Mefisto Beam Analyzer 4 4 Acquire Beam Spectrum 4 5 Open Valve ECRIS/Chamber (Gate valve) 4 6 Vent Instrument Chamber

More information

Standard Operating Manual

Standard Operating Manual NANOELECTRONICS FABRICATION FACILITY (NFF), HKUST Standard Operating Manual STS ICP-DRIE Copyright 2014 by Hong Kong University of Science & Technology. All rights reserved. Page 1 NANOELECTRONICS FABRICATION

More information

Oerlikon Sputtering Evaporator SOP

Oerlikon Sputtering Evaporator SOP Oerlikon Sputtering Evaporator SOP Short UNT Cleanroom 1. Taking out sample holder from Transport Chamber : Log in FOM to access the software Go to the software and log in with user1 and password user1

More information

Standard Operating Procedure. For. PVD E-Beam

Standard Operating Procedure. For. PVD E-Beam P a g e 1 Standard Operating Procedure For PVD E-Beam P a g e 2 Introduction The PVD Electron-Beam Evaporator (E-Beam) thin film deposition machine uses a magnetically guided and collimated stream of electrons

More information

Plasma Cleaner. Yamato Scientific America. Contents. Innovating Science for Over 125 Years. Gas Plasma Dry Cleaner PDC200/210/510 PDC610G.

Plasma Cleaner. Yamato Scientific America. Contents. Innovating Science for Over 125 Years. Gas Plasma Dry Cleaner PDC200/210/510 PDC610G. Yamato Scientific America Innovating Science for Over 125 Years Plasma Cleaner Contents Gas Plasma Dry Cleaner PDC200/210/510 PDC610G Gas Plasma Reactor 145 146 147 149 144 Gas Plasma Dry Cleaner Plasma

More information

Leaks, contamination and closed loop control how RGAs make coating processes more profitable

Leaks, contamination and closed loop control how RGAs make coating processes more profitable Leaks, contamination and closed loop control how RGAs make coating processes more profitable Backup: What s an RGA Residual Gas Analyzer An instrument that is measuring the gas composition in a chamber

More information

Arizona State University NanoFab XACTIX ETCHER. Rev A

Arizona State University NanoFab XACTIX ETCHER. Rev A Arizona State University NanoFab XACTIX ETCHER Rev A Table of Contents Contents Table of Contents... 1 1. Purpose / Scope... 2 2. Reference Documents... 2 3. Equipment / Supplies / Material... 2 4. Safety...

More information

Approved by Principal Investigator Date: Approved by Super User: Date:

Approved by Principal Investigator Date: Approved by Super User: Date: Approved by Principal Investigator Date: Approved by Super User: Date: Standard Operating Procedure BNC Commonwealth Dual Ion Beam Deposition System (CDIBS) Version 2010 February 14 I. Purpose This Standard

More information

Title: Xactix XeF2 Etcher Semiconductor & Microsystems Fabrication Laboratory Revision: A Rev Date: 03/23/2016

Title: Xactix XeF2 Etcher Semiconductor & Microsystems Fabrication Laboratory Revision: A Rev Date: 03/23/2016 Approved by: Process Engineer / / / / Equipment Engineer 1 SCOPE The purpose of this document is to detail the use of the Xactix XeF2 Etcher. All users are expected to have read and understood this document.

More information

Unaxis PECVD. SiH4 (5% in He)

Unaxis PECVD. SiH4 (5% in He) Unaxis PECVD Table of Contents: I: Introduction II: Machine Specifications III: System Components IV: Deposited Materials and Precursor Gases V: Operating Instructions VI: Creating a Recipe VII: Troubleshooting

More information

March Asher Operation

March Asher Operation March Asher Operation Roger Robbins 7/31/2006 The University of Texas at Dallas Erik Jonsson Engineering School of Engineering TITLE: March Asher Operation Page 1 of 13 March Asher Operation Roger Robbins

More information

Xactix Xenon Difluoride Etcher

Xactix Xenon Difluoride Etcher Xactix Xenon Difluoride Etcher 1 Introduction This tool is a Xactix e1 series XeF2 (Xenon Difluoride) based vapor phase etch system for isotropic and selective silicon etching. The XeF2 reaction with silicon

More information

Plasma 1 (Technics) Standard Operating Procedure. Revision: 1.0 Last Updated: Feb.5/2013, Revised by Grace Li

Plasma 1 (Technics) Standard Operating Procedure. Revision: 1.0 Last Updated: Feb.5/2013, Revised by Grace Li Plasma 1 (Technics) Standard Operating Procedure Revision: 1.0 Last Updated: Feb.5/2013, Revised by Grace Li Overview This document will provide a detailed operation procedure of the Technics PE II-A plasma

More information

1.1 Equipment: substrate, wafer tweezers, metal targets 1.2 Personal Protective Equipment: nitrile gloves, safety glasses 1.

1.1 Equipment: substrate, wafer tweezers, metal targets 1.2 Personal Protective Equipment: nitrile gloves, safety glasses 1. Nanomaster NSC-3000 DC Magnetron Sputter Tool Standard Operating Procedure Faculty Supervisor: Prof. Robert White, Mechanical Engineering (x72210) Safety Office: Peter Nowak x73246 (Just dial this directly

More information

Nordiko Metal Sputtering System Standard Operating Procedure

Nordiko Metal Sputtering System Standard Operating Procedure Nordiko Metal Sputtering System Standard Operating Procedure Specifications : Target Size Gases used in the system Base pressure Sputtering pressure Substrates used Substrate size : 2 inch or 4 inch :

More information

University of Minnesota Nano Center Standard Operating Procedure

University of Minnesota Nano Center Standard Operating Procedure University of Minnesota Nano Center Standard Operating Procedure Equipment Name: Controlled Atmosphere Glove Box Model: Labconco Protector Location: PAN 185 Badger Name: Not on Badger Revision Number:

More information

Plasma II (AXIC) Standard Operating Procedure. Revision: 1.0 Last Updated: Feb.6/2013, Revised by Grace Li

Plasma II (AXIC) Standard Operating Procedure. Revision: 1.0 Last Updated: Feb.6/2013, Revised by Grace Li Plasma II (AXIC) Standard Operating Procedure Revision: 1.0 Last Updated: Feb.6/2013, Revised by Grace Li Overview This document will provide a detailed operation procedure of the Axic Multimode LF-6 plasma

More information

Standard Operating Manual

Standard Operating Manual Standard Operating Manual Allwin21 AW610 RTP Page 1 of 18 Contents 1 Picture and Location 2 Process Capabilities 2.1 Cleanliness Standard 2.2 Recipes 2.3 Performance of Allwin21 AW610 RTP 3 Contact List

More information

Standard Operating Manual

Standard Operating Manual Standard Operating Manual Branson IPC 3000 O 2 Asher Page 1 of 14 Contents 1 Picture and Location 2 Process Capabilities 2.1 Cleanliness Standard 2.2 Recipes 2.3 Performance of Branson IPC 3000 O 2 Asher

More information

Issue: H Title: CHA E-Beam Evaporator Page 1 of 7. Table of Contents

Issue: H Title: CHA E-Beam Evaporator Page 1 of 7. Table of Contents Title: CHA E-Beam Evaporator Page 1 of 7 Table of Contents Purpose/Scope... 2 2.0 Reference Documents... 2 3.0 Equipment/Supplies/Material... 2 4.0 Safety... 2 5.0 Set Up Procedures... 2 5.1 PC Logon and

More information

Equipment Operating Procedure Glove Box

Equipment Operating Procedure Glove Box Equipment Operating Procedure Glove Box Page 1 0.0 Changing the Compressed Gas Cylinder 1. Complete Compressed Gas Cylinder training from EHS website before manually exchanging gas cylinders. In order

More information

Angstrom Dielectric Sputterer Operation Manual

Angstrom Dielectric Sputterer Operation Manual Angstrom Dielectric Sputterer Operation Manual I. System overview The Angstrom Dielectric Sputterer (ADS) has a similar interface as the Angstrom metal sputterer. It has two screens, the process screen

More information

Vacuum Pumpdown and Venting Procedure, CRaTER Thermal Vacuum System. Dwg. No

Vacuum Pumpdown and Venting Procedure, CRaTER Thermal Vacuum System. Dwg. No Rev. ECO Description Checked Approval Date 01 32- Release M. Smith Vacuum Pumpdown and Venting Procedure, CRaTER Thermal Vacuum System Dwg. No. 32-06003.05 Revision 01 June 18, 2007 1 1. Introduction 1.1.

More information

Application Note. ASTRON Remote Plasma Source Ignition Best Practices PROBLEM

Application Note. ASTRON Remote Plasma Source Ignition Best Practices PROBLEM PROBLEM The ASTRON family of remote plasma sources produce reactive gas species for semiconductor device fabrication applications. Reliable ignition of the plasma in the ASTRON depends strongly on the

More information

Nanofabrication Facility: Sputter Evaporator SOP Rev. 04, June 2007

Nanofabrication Facility: Sputter Evaporator SOP Rev. 04, June 2007 Author: Rev. 00: David Webster, TRIUMF, February 22, 1995. Rev. 01: A. Schmalz, October 12, 1999 Rev. 02: Doug Wong, August 2, 2002 (STS File: S02-014) Rev. 03: Mario Beaudoin, April 2006 Rev. 04: Bahador

More information

PRad Target. Chris Keith JLab Target Group

PRad Target. Chris Keith JLab Target Group PRad Target Chris Keith JLab Target Group Target Overview & Components Target Software Hazard Analysis and Mitigation Target Status, Performance& Remaining Work Installation Schedule Nov. 12, 2015 PRad

More information

Operating Procedures for Metal Evaporator I

Operating Procedures for Metal Evaporator I Operating Procedures for Metal Evaporator I Metal Evaporator I is intended as a tool and a training device. Understanding the operation of this equipment should give you a basic knowledge of vacuum and

More information

Arizona State University Center for Solid State Electronics Research Issue: E Title: Heat Pulse 610 Operating Procedure Page 1 of 7

Arizona State University Center for Solid State Electronics Research Issue: E Title: Heat Pulse 610 Operating Procedure Page 1 of 7 Title: Heat Pulse 610 Operating Procedure Page 1 of 7 Table of Contents 1.0 Purpose/Scope... 2 2.0 Reference Documents... 2 2.1 Heat Pulse Operations Manual... 2 2.2 Solaris software Operations Manual...

More information

MINIBRUTE ANNEAL TUBE STANDARD OPERATION PROCEDURE

MINIBRUTE ANNEAL TUBE STANDARD OPERATION PROCEDURE Arizona State University NanoFab MINIBRUTE ANNEAL TUBE STANDARD OPERATION PROCEDURE Rev A Title: MINIBRUTE ANNEAL TUBE STANDARD OPERATION PROCEDURE Table of Contents Issue: Rev A Contents Table of Contents...1

More information

1)! DO NOT PROCEED BEYOND THIS MARK

1)! DO NOT PROCEED BEYOND THIS MARK Operating Instructions for X-ray Photoelectron Spectrometer: Physical Electronics Model 555 XPS/AES (John H. Thomas, III, Ph.D., Electron Spectroscopy) Sample Insertion: figure 1. Sample insertion rod

More information

WATER CONTROL SYSTEM QUICK START

WATER CONTROL SYSTEM QUICK START SETTINGS MENU SYSTEM OPTIONS WATER LEVEL BAR GRAPH 3 DS SPRAY ON/OFF CS SPRAY ON/OFF BEACON WATER CONTROL SYSTEM QUICK START WWW.GFWORLDWIDE.COM +1 (208) 664-9291 SERVICE@GFWORLDWIDE.COM DISPLAY SCREEN

More information

The Experts in Vacuum Solutions

The Experts in Vacuum Solutions By Woodrow Farrow - Reprinted with permission from Specialty Gas Report Vacuum: a space that is relatively empty of matter, especially when that void has been created through artificial means. The earth

More information

Pump out insert (condenser and still lines) overnight with turbo pump

Pump out insert (condenser and still lines) overnight with turbo pump Running the Dilution Fridge Before starting a run: Pump out insert (condenser and still lines) overnight with turbo pump Make sure LN and LHe traps are clean pump out with He4 rotary pump Start He3 rotary

More information

Thermo K-Alpha XPS Standard Operating Procedure

Thermo K-Alpha XPS Standard Operating Procedure Thermo K-Alpha XPS Standard Operating Procedure Quick Guide Draft v.0.1 Procedure overview 1. Vent the loadlock 2. Secure your sample to the stage using clips, check the height of the final assembly. 3.

More information

Revision 2013 Vacuum Technology 1-3 day Good Vacuum Practice 1 Day Course Outline

Revision 2013 Vacuum Technology 1-3 day Good Vacuum Practice 1 Day Course Outline Revision 2013 Vacuum Technology 1-3 day Good Vacuum Practice 1 Day Course Outline This training course outline is intended to cover the following: Introduction to vacuum Measurement Lubricated rotary pumps

More information

Revised: June 7, 2017

Revised: June 7, 2017 LC Technologies Thermal Evaporator Standard Operating Procedure Faculty Supervisor: Prof. Robert White, Mechanical Engineering (x72210) Safety Office: Peter Nowak x73246 (Just dial this directly on any

More information

Introduction of Vacuum Science & Technology. Diffusion pumps used on the Calutron mass spectrometers during the Manhattan Project.

Introduction of Vacuum Science & Technology. Diffusion pumps used on the Calutron mass spectrometers during the Manhattan Project. Introduction of Vacuum Science & Technology Diffusion pumps used on the Calutron mass spectrometers during the Manhattan Project. 1 What is a vacuum? 760 mm Hg Vacuum ATM A vacuum is defined as less than

More information

In Response to a Planned Power Outage: PPMS EverCool II Shut Down and Re-start Procedure

In Response to a Planned Power Outage: PPMS EverCool II Shut Down and Re-start Procedure PPMS Service Note 1099-412 In Response to a Planned Power Outage: PPMS EverCool II Shut Down and Re-start Procedure Introduction: Loss of electricity to the PPMS EverCool II should not cause damage to

More information

Information Sheet. About this information sheet. Floor and wall loadings. Attachment of process module to floor. PlasmaPro Estrelas100

Information Sheet. About this information sheet. Floor and wall loadings. Attachment of process module to floor. PlasmaPro Estrelas100 Information Sheet PlasmaPro Estrelas100 About this information sheet This data sheet provides basic information about the installation of a PlasmaPro Estrelas100 tool. Some of the information in this sheet

More information

Operation of the Perkin Elmer TGA-GC/MS

Operation of the Perkin Elmer TGA-GC/MS Operation of the Perkin Elmer TGA-GC/MS Summary of the TGA-GC/MS: The TGA-GC/MS allows a user to decompose a sample by heating, measure its loss of mass, and simultaneously analyze the chemical composition

More information

DRAFT. Operating Procedures for the NPDGamma Liquid Hydrogen Target in TA-53, Building MPF-35

DRAFT. Operating Procedures for the NPDGamma Liquid Hydrogen Target in TA-53, Building MPF-35 Operating Procedures for the NPDGamma Liquid Hydrogen Target V0.03 11/26/05 1 DRAFT Operating Procedures for the NPDGamma Liquid Hydrogen Target in TA-53, Building MPF-35 Version 0.03 November 26, 2005

More information

R I T. Title: Amray 1830 SEM Semiconductor & Microsystems Fabrication Laboratory Revision: A Rev Date: 09/29/03 1 SCOPE 2 REFERENCE DOCUMENTS

R I T. Title: Amray 1830 SEM Semiconductor & Microsystems Fabrication Laboratory Revision: A Rev Date: 09/29/03 1 SCOPE 2 REFERENCE DOCUMENTS Fabrication Laboratory Revision: A Rev Date: 09/29/03 Approved by: Process Engineer / / / / Equipment Engineer 1 SCOPE The purpose of this document is to detail the use of the Amray 1830 SEM. All users

More information

OLED vacuum deposition cluster system Sunicel Plus 400 / Plus 400L (Sunic Systems)

OLED vacuum deposition cluster system Sunicel Plus 400 / Plus 400L (Sunic Systems) OLED vacuum deposition cluster system Sunicel Plus 400 / Plus 400L (Sunic Systems) Gen2 substrate size : 370 (+/- 0.2) mm x 470 (+/- 0.2) mm. Thickness : 0.55 to 2.0mm. Supports the following deposition

More information

Procedure for Operating Columbia (Nevis) LHe Electron Bubble Chamber Cryostat. Version 5.1

Procedure for Operating Columbia (Nevis) LHe Electron Bubble Chamber Cryostat. Version 5.1 Project: Novel Electron Bubble Particle Detector Procedure for Operating Columbia (Nevis) LHe Electron Bubble Chamber Cryostat Version 5.1 (Using side filling line) Hand Processed Changes HPC No. Date

More information

Oxford Instruments Plasma Technology

Oxford Instruments Plasma Technology Oxford Instruments Plasma Technology Issue 01_0D / December 2014 / www.oxford-instruments.com 2014. Oxford Instruments Plasma Technology. All rights reserved. Contents Section Page About this data sheet...

More information

Refinements in the Plasma Processing of Polyimide and B.C.B.

Refinements in the Plasma Processing of Polyimide and B.C.B. Plasma is a state of matter represented by a collection of positively charged ions and negative electrons, capable of conducting electricity and absorbing energy from an electrical supply. Lightning and

More information

ICP- CVD Inductively Coupled Plasma - Chemical Vapor Deposition

ICP- CVD Inductively Coupled Plasma - Chemical Vapor Deposition ICP- CVD Inductively Coupled Plasma - Chemical Vapor Deposition Tool Identifier Plasma lab System 100 ICP180 Document version:6.0 Date:9 th June 2014 ICP chamber Rota meter shows flow of GN2 to purge rotaries

More information

Technical Specifications of Hydrogen Isotope Handling and Recovery System

Technical Specifications of Hydrogen Isotope Handling and Recovery System SECTION - C TECHNICAL SPECIFICATIONS OF STORES AND DRAWINGS. Technical Specifications of Hydrogen Isotope Handling and Recovery System INSTITUTE FOR PLASMA RESEARCH GANDHINAGAR, GUJARAT 382428 ANNEXURE-I

More information

Mass Flow Controller (MFC) for Gases

Mass Flow Controller (MFC) for Gases Mass Flow Controller (MFC) for Gases Type 8713 can be combined with... Direct flow measurement by MEMS- Technology for nominal flow rates from 1 ml N /min to 8 l N /min (N 2 ) High accuracy and repeatability

More information

OPERATION OF THE DIMPLER

OPERATION OF THE DIMPLER OPERATION OF THE DIMPLER After thinning your sample to ~80 μm you can now do a dimpling process to thin the center up to 10 μm. When you walk in and use the DIMPLER it should already be calibrated and

More information

Lam Rainbow and TCP Product Status Checklist. System Type: Poly Etcher System Model: 4420 D.O.M.:

Lam Rainbow and TCP Product Status Checklist. System Type: Poly Etcher System Model: 4420 D.O.M.: System Type: Poly Etcher System Model: 4420 D.O.M.: Serial number: 3943 CTC Number: 1150 Machine Hours: ~29,428 1. System Control Software: Classic Envision 2. System Control Software Rev: Envision 1.5

More information

Cambridge NanoTech: Savannah S100. Table of Contents

Cambridge NanoTech: Savannah S100. Table of Contents Table of Contents 1.0 Purpose/Scope... 2 2.0 Reference Documents... 2 3.0 Equipment/Supplies/Material... 2 4.0 Safety... 2 5.0 Logbook... 3 6.0 Login and Launch Software... 3 7.0 Session Setup... 3 8.0

More information

Operation of the mask aligner MJB-55

Operation of the mask aligner MJB-55 John Paul Adrian Glaubitz Operation of the mask aligner MJB-55 Department of Physics Faculty of Mathematics and Natural Sciences University of Oslo 1 Introduction The mask aligner is an essential tool

More information

NNCI ETCH WORKSHOP SI DRIE IN PLASMATHERM DEEP SILICON ETCHER. Usha Raghuram Stanford Nanofabrication Facility Stanford, CA May 25, 2016

NNCI ETCH WORKSHOP SI DRIE IN PLASMATHERM DEEP SILICON ETCHER. Usha Raghuram Stanford Nanofabrication Facility Stanford, CA May 25, 2016 NNCI ETCH WORKSHOP SI DRIE IN PLASMATHERM DEEP SILICON ETCHER Usha Raghuram Stanford Nanofabrication Facility Stanford, CA May 25, 2016 PLASMATHERM DEEP SI ETCHER PROCESS PARAMETERS Process Parameters/

More information

ACV-10 Automatic Control Valve

ACV-10 Automatic Control Valve ACV-10 Automatic Control Valve Installation, Operation & Maintenance General: The Archer Instruments ACV-10 is a precision automatic feed rate control valve for use in vacuum systems feeding Chlorine,

More information

High Performance Mass Spectrometers

High Performance Mass Spectrometers High Performance Mass Spectrometers For Vacuum and Semiconductor Process Monitoring Detailed product information / introduction MOCVD semiconductor processing Applications: XXCVD/MOCVD XXPECVD XXALD XXSputtering

More information

Vacuum System at IUAC

Vacuum System at IUAC Vacuum System at IUAC A. Mandal Inter-University Accelerator Centre, Aruna Asaf Ali Marg, Post Box - 10502, New Delhi 110067, Fax:011-26893666. email: mandal@iuac.res.in Abstract: Vacuum technology is

More information