STS PECVD Instructions

Size: px
Start display at page:

Download "STS PECVD Instructions"

Transcription

1 STS PECVD Instructions I. Introduction A PECVD (Plasma Enhanced Chemical Vapor Deposition) reacts gases in a RF- (Radio Frequency) - induced plasma to deposit materials such as SiO 2 and Si X N Y. This PECVD system operates at 13.56MHz and 380kHz. The tool has one chamber that is used for all depositions and utilizes a loadlock. This system is designed to control the stress of deposited films by adjusting the ratio of the high frequency (HF) power supply time, t HF, to the low frequency power supply, t LF. The bottom electrode where the samples are placed is called the platen, and the upper electrode where gases enter the chamber is called the showerhead. The STS PECVD can process a wide range of sample sizes. The number of samples depends on the size of the samples. The system can accommodate from 3" to 6" wafers, but deposition is typically done on 4" substrates. If samples are not wafers, there are two ceramic holder plates that are shaped like wafers that they can be placed on. Deposition will be uniform regardless of any through-wafer or through-sample holes. Typical deposition rates range from 100A/min to 400A/min, depending mainly on power, pressure, and gas flows. This system does have the capability to deposit SiO 2 at rates exceeding 500A/min; however, the quality of that material will not be great. That capability was designed to allow thick sacrificial SiO 2 layers to be deposited quickly. Caution: This system cannot process samples with thickness exceeding 2mm. Those samples should be processed in the PlasmaTherm PECVD. II. Machine Specifications At the MiRC, this system is used primarily for depositing silicon nitride films, silicon dioxide, and silicon oxynitride layers. Specific information on the required HF: LF ratios to generate a specific stress level in a film can be found in the documentation section for silicon nitride. For silicon oxynitride, similar principles apply. See the trainer for information on techniques to do so. This system has the following gases available for processing: SiH 4 (2% in N 2 ) N 2 O NH 3 N 2 This system uses the following gases for cleaning: CF 4 O 2 III. System Components 1. Heat exchanger 2. Mechanical Pump 3. Roots blower 4. Circuit breakers 5. Gas cabinet (chase) with switch 6. Reaction chamber with platen and aux-showerhead-temperatures IV. Deposited Materials and Precursor Gases 1. Silicon dioxide - SiO 2 - SiH 4, N 2 O

2 2. Silicon nitride - Si X N Y - SiH 4, NH 3 3. Silicon oxynitride - SiO X N Y - SiH 4, NH 3, N 2 O V. Operating Instructions 1. Loading a sample 1. Login to the access controller box 2. Press F3 to select the load/unload screen 3. Press V to vent the loadlock. Note that the system will cycle 4 times through the vent process; the process takes a few minutes as a result. The system will be at atmosphere when the loadlock pressure reads ~716 Torr and the seal between the O-ring and the lid will be noticeably weaker. Note: If the system says "Waiting" and no options are enabled, see Troubleshooting section of the instructions to resolve this problem. Note: This PECVD will begin to pump the loadlock back down if it is not opened within 45 seconds of the Vent process finishing. 4. Open the loadlock chamber lid and place the wafer and/or sample holder on the tray with the wafer or holder flat lined up with the open area in the substrate holder. Make sure that the sample / holder is securely in place and not wobbling. Note: If a polymer is processed in the system and it contaminates the chamber, e.g. clogs the showerhead, requires a physical chamber clean, etc., YOU WILL LOSE ACCESS TO THE MACHINE. 5. Close the loadlock Cover 6. Press L to load the sample. The loadlock will pump down and then the wafer will be loaded. Sometimes the change in air pressure will cause the sample to move. If this happens, press F1 immediately to abort the loading process. Please vent the chamber and re-seat your sample. 7. Press F3 to return to the main operating system after your sample has been loaded into the chamber. 8. IMPORTANT: There are two ways of viewing the system. F7 shows the system with gas lines, valves, the roots blower, etc., as a diagram. F8 displays that information in text. F8 will allow you to alter process parameters while your process is running, but F7 - Mimic - is extremely good for getting a systems level perspective on how things work, what valves are open when, and how gas line purges actually work. 2. Running a Process 1. Press F2 and select the desired process 2. After the process has been selected, the system will go through several steps. Don't worry about what they are; each time they come up and a choice is presented, e.g. "F1 - Abort," hit F1 only once (if you hold it down too long the key will stick and bad things will happen), continue doing so until the main menu is back (e.g. options for the F1 - F4 keys are displayed). At this point the process has load successfully. 3. After making sure the sample is indeed loaded, press F1 to start the process.

3 4. If the chamber is not at the correct temperature, the process will move to that step and adjust itself to the correct temperature, whether higher or lower. Please be patient as the system takes a while to adjust process temperature. If the system displays "Cannot reach setpoint" or similar message when doing this, ignore the message and do not press F1. Please allow the system to come to the correct temperature automatically. 5. After this, the system will pump the chamber and attempt to match the tuning capacitors for the power supplies. The system will then enter the "Gas Stabilization" phase where it begins to flow the precursor gases so that when the process initiates, the chamber will be at ideal process conditions. If the system alarms because of the pressure at this point, please hit whichever key "F1 or F2" is listed on the screen as Resume and let the system try again. If that fails, see Troubleshooting. 6. The system will then match the tuning capacitors again and attempt to strike the plasma. The capacitors will auto-tune at this point to the necessary settings to minimize the reflected power. Please keep in mind that this will take several seconds. After this finishes, the reflected power should be less than The system may alarm at this point. Even though the reflected power is at 0, that does not mean that the forward power is within the tolerance limit (usually 10%) of the setpoint (ex. 20W). E.g. if the system's reflected power is 0 but the power level is staying at 17W or 18W, the system will go into Hold with a "Power out of compliance" error. If this happens, hit whichever key - F1 or F2 - is Resume. If this happens more than once, see Troubleshooting. 8. Stay and watch your process for the first two minutes of deposition. Thereafter, come check on it every 15 minutes to make sure it is working. 3. Unloading a Sample 1. Once the process is complete, the main menu that shows values for the F1 - F4 keys will come up. 2. Press F3 to select the load/unload. Note: If the screen says "Waiting" for 30 or more seconds and no options are enabled, see the Troubleshooting section. 3. Press U to unload the sample. 4. When the loadlock is vented, the chamber pressure will be approximately 716 Torr and the seal between the O-ring and the lid will be noticeably weaker. The loadlock may be opened and the sample removed at this point. If other samples are to be run, repeat this process. 5. Close the loadlock; run a clean process - see section 4, "Cleaning". 4. Cleaning 1. Make sure the main menu is selected (F1 - F4 values showing) 2. Press F2 and select the CLEAN.SET recipe. 3. Follow the procedure used in "Loading a Process" - step 2 - to avoid waiting.

4 4. Press F1 to initiate the run. Please make sure it starts successfully and the plasma lights before you leave. 5. Log out of the system at the access controller. VI. Creating a Recipe 1. Press F6 to enter the main system's text-based menu. Type "SETUP" and press enter. If "SETUP" is not a valid command, type "LEVEL" and enter "MIRC". Now try "SETUP" again. 2. A new menu bar on a black screen will come up. It will have three options: Load/Save, Dep/Def'n, and Standby/Purge. Select File then Load Process to load the desired recipe. Always use another recipe as a template. 3. Select Dep / Def'n. You will have several options such as add step, remove step, and modify step. To add a step, choose add step. To remove a step, choose remove step. To modify a step, choose modify step. Then select the step to modify. The parameters for the step will be displayed. You can change one of the parameters by selecting it from the menu at the top and entering a new value. 4. Press Esc to return to the menu bar. Choose File, Save to save your recipe. Remember to choose a name that you will remember, that will allow others to determine what you are depositing, and that does not overwrite another recipe, unless it is yours. 5. To exit the recipe editor, press Esc to display the menu bar, then press Esc again. When asked if you want to quit, answer Y. 6. Time - Time is set under the "13.56MHz" or "380kHz" RF options. Two things can be set: if both power supplies are to be used - alternated between to control stress - make sure that the ratio of t HF :t LF has been calculated and that proper values are chosen for those numbers. Then set them as times for each power supply. Set the overall process time - in that case only - as the process time. Otherwise, the process time is set as the Low or High RF time if only one power supply is being used. 7. Pressure - Set the base chamber pressure to 0mTorr [this pressure is what the system will pump the chamber down to during purge cycles], set the process pressure to your desired value [pressure your process will run at], and set the Trip pressure to 1700mTorr [upper pressure bound that, if exceeded, will cause process to go into hold]. 8. Pumpout times - leave it set at 30 seconds. 9. Gas Flows: DO NOT EXCEED 90% of rated capacity on the MFCs. If the capacity is not shown on the screen, that number is the maximum allowed flow for that gas. 10. Power: DO NOT EXCEED 250W on either power supply. Although higher powers are used during clean processes, powers in that range can damage the system in normal processing mode and will hurt your substrate. 11. Temperature: Set the temperatures to the desired process temperature. Remember, the Aux temperature is the temperature of the showerhead; the main / platen temperature is the process temperature for your wafer. DO NOT EXCEED 300C on platen temperature or 250C on the AUX - showerhead. 12. Endpoint detection / End detection - leave this option disabled.

5 VII. Troubleshooting Q: What if no options in the load / unload menu are enabled and the system read "Waiting"? A: Consult the technical staff. Q: What if the system will not reach equilibrium during gas stabilization (e.g. pressure out of compliance)? A: Hit F1 for RESUME or ABORT, whichever option is enabled. If RESUME is selected, then please be patient while the system attempts to reach equilibrium. If this is required more than once, please notify the technical staff. Q: What if the system skips the deposition step and goes right through the gas line purge and then completes the process? A:There is something wrong with your recipe or the system. 1. Check that all the values are in compliance (e.g. chamber/aux temperature). 2. Then check your recipe to see if the parameters have changed. Q: What if the RF power is showing reflected power but the levels are low (<2W); however, my process is stopping because the forward power is not in range? A: The start positions for the capcitors are probably not set correctly. It takes the system some time to set those to optimal settings. Hit F1 to resume and once your recipe is running fine, record these numbers (Tune and Load) for each power supply. When your process is done, go change those parameters in your recipe. Q: What if the process temperatures are not in range? A: Give the system time to reach the desired temperature. Generally it takes the system 1 minute to raise its temperature by degrees C. Q: How do I get approval to process a material on the system that is not listed under allowed materials? A: Go to the Exceptions web page. Please explain in detail why you need to process this material (is it a polymer, what is the melting point, etc.) and answer the questions about whether it could contaminate or harm the system or other users's samples. Include a link to the relevan paper if it is online; do not attach a.pdf copy except where it says to upload. Incomplete information will result in automatic rejection of your request for an exception for that material. VIII. DO's and DON'Ts DO NOT TURN THE SYSTEM OFF!! hit F4 and exit the software press OFF on the front of the machine abort an unload process, as it will leave your sample in the machine. If your sample becomes stuck in the machine, you will cause significant machine downtime and will face disciplinary action. DO make sure your sample only has approved materials on it make sure you load your sample properly run a clean process.

6 IX. Check-off requirements 1. Locate the relevant components of the system (heat exchanger, etc.) 2. Know the specific materials that can be deposited and know what each gas is used for (e.g. clean, precursors for what materials, etc.) 3. Know the specific materials and processes you will be using the for 4. Be familiar with the other materials that can be deposited in the system 5. Vent the chamber 6. Load a sample 7. Load a process 8. Edit a process and save it as yours 9. Run a process 10. Unload a sample 11. Run a clean process 12. Demonstrate how to handle the following errors: o Pressure will not go into equilibrium during the gas stabilization phase of a process o Levels of reflected RF power exceeding 1W o Forward RF power is not in range during a recipe o Levels of reflected RF power exceeding 5W o Process that has a general error and must be terminated X. Personal Safety To prevent risk of personnel injury, all maintenance and repair procedures must be undertaken by technically qualified person(s) who are fully aware of all relevant safety precaution associated with processing, operating and maintaining the equipment. If you detect any chemical fume from the process chamber, please STOP your process and contact a staff member immediately. Potentially lethal voltages (in excess of 30 volts AC and 50 volts DC) are present on the equipment. If you see any open and broken wire or exposure electrical parts, DO NOT try to fix it. You have to contact a staff member right away. To prevent an uncontrolled hazardous gas flow to the process chamber, which could result in personal injury, DO NOT open the by-pass valve in the gas box when flowing a process gas.

Unaxis PECVD. SiH4 (5% in He)

Unaxis PECVD. SiH4 (5% in He) Unaxis PECVD Table of Contents: I: Introduction II: Machine Specifications III: System Components IV: Deposited Materials and Precursor Gases V: Operating Instructions VI: Creating a Recipe VII: Troubleshooting

More information

Plasma-Therm PECVD. Operating Characteristics. Operating Instructions. Typical Processes. I. Loading. II. Operating

Plasma-Therm PECVD. Operating Characteristics. Operating Instructions. Typical Processes. I. Loading. II. Operating Plasma-Therm PECVD A PECVD (plasma enhanced chemical vapor deposition) reacts gases in a RF (radio frequency) induced plasma to deposit materials such as silicon dioxide and silicon nitride. This PECVD

More information

Notes-PECVD: Chamber 1

Notes-PECVD: Chamber 1 plasmatherm (EML) STANDARD OPERATING PROCEDURE CORAL Name: Plasmatherm Model Shuttlelock System VII SLR-770/734 Number: Location: EML What it Deposits the following films via Plasma-Enhanced Chemical Vapor

More information

PLASMA ENHANCED CHEMICAL VAPOR DEPOSITION (PECVD) SOP OXFORD PLASMALAB SYSTEM 100

PLASMA ENHANCED CHEMICAL VAPOR DEPOSITION (PECVD) SOP OXFORD PLASMALAB SYSTEM 100 PLASMA ENHANCED CHEMICAL VAPOR DEPOSITION (PECVD) SOP OXFORD PLASMALAB SYSTEM 100 June 2013 Interface Overview. The Oxford software is divided into 5 main screens. 1) Pump Control page 2) Recipe page 3)

More information

STS ICP-RIE. Scott Munro (2-4826,

STS ICP-RIE. Scott Munro (2-4826, STS ICP-RIE LOCATION: Plasma Etch Area PRIMARY TRAINER: Scott Munro (2-4826, email@address.com) 1. OVERVIEW The STS ICP-RIE is available to users who require deep anisotropic silicon etching with near

More information

Unaxis ICP/RIE SOP Revision 8 09/30/16 Page 1 of 5. NRF Unaxis ICP/RIE Etch SOP

Unaxis ICP/RIE SOP Revision 8 09/30/16 Page 1 of 5. NRF Unaxis ICP/RIE Etch SOP Page 1 of 5 NRF Unaxis ICP/RIE Etch SOP Unaxis Shuttlelock Reactive Ion Etcher with Inductively Coupled Plasma Module. Etch Capabilities: SiO2, Si3N4, Al, dielectrics and other commonly used materials.

More information

Arizona State University Center for Solid State Electronic Research. Table of Contents. Issue: C Title: Oxford Plasmalab 80plus (Floey) Page 1 of 8

Arizona State University Center for Solid State Electronic Research. Table of Contents. Issue: C Title: Oxford Plasmalab 80plus (Floey) Page 1 of 8 Title: Oxford Plasmalab 80plus (Floey) Page 1 of 8 Table of Contents 1.0 Purpose/Scope... 2 2.0 Reference Documents... 2 3.0 Equipment/Supplies/Material... 2 4.0 Safety... 2 5.0 Set Up Procedures... 2

More information

University of MN, Minnesota Nano Center Standard Operating Procedure

University of MN, Minnesota Nano Center Standard Operating Procedure Equipment name: STS Etcher Badger name: STS Revision number: 3 Model: 320 Revisionist: Paul Kimani Location: Bay 3 Date: 1 October 2013 A. Description The 320 is a manually loaded batch plasma etching

More information

Arizona State University NanoFab PLASMATHERM 790 RIE. Version A

Arizona State University NanoFab PLASMATHERM 790 RIE. Version A rizona State University NanoFab PLSMTHERM 790 RIE Version SU NanoFab Title: PLSMTHERM 790 RIE Table of Contents Contents Table of Contents...1 1. Purpose / Scope...2 2. Reference Documents...2 3. Equipment

More information

OXFORD PLASMALAB 80PLUS (CLOEY)

OXFORD PLASMALAB 80PLUS (CLOEY) Arizona State University NanoFab OXFORD PLASMALAB 80PLUS (CLOEY) Rev D Table of Contents Contents Table of Contents...1 1. Purpose / Scope...2 2. Reference Documents...2 3. Equipment / Supplies / Material...2

More information

University of MN, Minnesota Nano Center Standard Operating Procedure

University of MN, Minnesota Nano Center Standard Operating Procedure Equipment Name: University of MN, Minnesota Nano Center Deep Trench Etcher Badger Name: deeptrench Revision Number: 9 Model: SLR -770 Sofware Version: CORTEX v4.5 Revisionists: Paul Kimani Location: Bay

More information

Basic ICP Operating Procedures

Basic ICP Operating Procedures Center for High Technology Materials 2 February, 2009 University of New Mexico Created by Beth Fuchs Basic ICP Operating Procedures INTRODUCTION: The ICP is an inductively coupled plasma etching system,

More information

R I T. Title: STS ASE Semiconductor & Microsystems Fabrication Laboratory Revision: Original Rev Date: 01/21/ SCOPE 2 REFERENCE DOCUMENTS

R I T. Title: STS ASE Semiconductor & Microsystems Fabrication Laboratory Revision: Original Rev Date: 01/21/ SCOPE 2 REFERENCE DOCUMENTS Approved by: Process Engineer / / / / Equipment Engineer 1 SCOPE The purpose of this document is to detail the use of the STS ASE. All users are expected to have read and understood this document. It is

More information

Xactix Xenon Difluoride Etcher

Xactix Xenon Difluoride Etcher Xactix Xenon Difluoride Etcher 1 Introduction This tool is a Xactix e1 series XeF2 (Xenon Difluoride) based vapor phase etch system for isotropic and selective silicon etching. The XeF2 reaction with silicon

More information

Standard Operating Manual

Standard Operating Manual Standard Operating Manual Denton Explorer 14 RF/DC Sputter Version 1.0 Page 1 of 11 Contents 1. Picture and Location 2. Process Capabilities 1. Cleanliness Standard 2. Available for Sputtering Materials

More information

Nanofabrication Facility: PECVD SOP Rev. 00, April 24

Nanofabrication Facility: PECVD SOP Rev. 00, April 24 Author: Charlie Yao & Mario Beaudoin Email: charlieyao@gmail.com; Beaudoin@physics.ubc.ca Phone: 604-822-1853(MB). Purpose This document outlines the standard operation for the Trion Plasma Enhanced Chemical

More information

University of Minnesota, MN Nano Center Standard Operating Procedure

University of Minnesota, MN Nano Center Standard Operating Procedure Equipment Name: HDPCVD Revision Number: 2 Badger Name: HDPCVD Revisionist: L. von Dissen Model: Advanced Vacuum Date: 10/25/2016 Apex SLR ICP Location: PAN, Bay 3 1 Description The Apex SLR ICP is a high

More information

Standard Operating Manual

Standard Operating Manual Standard Operating Manual Oxford Plasmalab 80 Plus Plasma Etcher Page 1 of 24 Contents 1. Picture and Location 2. Process Capabilities 2.1 Cleanliness Standard 2.2 Available Etching Materials 2.3 Performance

More information

Standard Operating Manual

Standard Operating Manual Standard Operating Manual Branson IPC 3000 O 2 Asher Copyright 2014 by Hong Kong University of Science & Technology. All rights reserved. Page 1 Contents 1. Picture and Location 2. Process Capabilities

More information

Standard Operating Manual

Standard Operating Manual Standard Operating Manual ARC12M Sputter Copyright 11.2015 by Hong Kong University of Science & Technology. All rights reserved. Page 1 Contents 1. Picture and Location 2. Process Capabilities 2.1 Cleanliness

More information

Xactix XeF2 OPERATION MANUAL

Xactix XeF2 OPERATION MANUAL General Information The Xactix e-1 is a xenon difluoride (XeF 2) isotropic silicon etcher. XeF 2 is a vapor phase etch, which exhibits very high selectivity of silicon to photo-resist, silicon dioxide,

More information

Savannah S100 ALD at SCIF, UC Merced Standard operating Procedure

Savannah S100 ALD at SCIF, UC Merced Standard operating Procedure This document covers the procedure that should be followed for normal operation of the Cambridge NanoTech: Savannah S100 (Atomic Layer Deposition ALD). This tool is design to be used with whole 4inch wafers.

More information

Usage Policies Notebook for Xenon Difluoride (XeF 2 ) Isotropic Si Etch

Usage Policies Notebook for Xenon Difluoride (XeF 2 ) Isotropic Si Etch Usage Policies Notebook for Xenon Difluoride (XeF 2 ) Isotropic Si Etch Revision date September 2014 2 Emergency Plan for XeF 2 Si Etcher Standard Operating Procedures for Emergencies Contact information

More information

Standard Operating Manual

Standard Operating Manual Standard Operating Manual Branson IPC 3000 O 2 Asher Page 1 of 14 Contents 1 Picture and Location 2 Process Capabilities 2.1 Cleanliness Standard 2.2 Recipes 2.3 Performance of Branson IPC 3000 O 2 Asher

More information

Usage Policies Notebook for Trion RIE / ICP Dry Etch

Usage Policies Notebook for Trion RIE / ICP Dry Etch Usage Policies Notebook for Trion RIE / ICP Dry Etch Revision date September 2014 2 Emergency Plan for Trion RIE/ICP Dry Etch Standard Operating Procedures for Emergencies Contact information Person Lab

More information

Unifilm Technology PVD-300 Sputter Deposition Operation Instructions

Unifilm Technology PVD-300 Sputter Deposition Operation Instructions Unifilm Technology PVD-300 Sputter Deposition Operation Instructions Contributors: Devin Brown, Kevin Klein, Ben King, Eric Woods Anything that is BOLD UNDERLINED ITALICS means that you should press that

More information

Cambridge NanoTech: Savannah S100. Table of Contents

Cambridge NanoTech: Savannah S100. Table of Contents Table of Contents 1.0 Purpose/Scope... 2 2.0 Reference Documents... 2 3.0 Equipment/Supplies/Material... 2 4.0 Safety... 2 5.0 Logbook... 3 6.0 Login and Launch Software... 3 7.0 Session Setup... 3 8.0

More information

Usage Policies Notebook for STS DRIE System

Usage Policies Notebook for STS DRIE System Usage Policies Notebook for STS DRIE System Revision date September 2014 2 Emergency Plan for STS DRIE System Standard Operating Procedures for Emergencies Contact information Person Lab Manager Director

More information

March CS-1701F Reactive Ion Etcher

March CS-1701F Reactive Ion Etcher March CS-1701F Reactive Ion Etcher Standard Operating Procedure Faculty Supervisor: Prof. Robert White, Mechanical Engineering (x72210) Safety Office: Peter Nowak x73246 (Just dial this directly on any

More information

Standard Operating Manual

Standard Operating Manual NANOELECTRONICS FABRICATION FACILITY (NFF), HKUST Standard Operating Manual STS ICP-DRIE Copyright 2014 by Hong Kong University of Science & Technology. All rights reserved. Page 1 NANOELECTRONICS FABRICATION

More information

Edge Isolation Tool. Standard Operating Procedure. Version 1.1. Date: Prepared by, Sandeep S S. Department of Electrical Engineering

Edge Isolation Tool. Standard Operating Procedure. Version 1.1. Date: Prepared by, Sandeep S S. Department of Electrical Engineering Edge Isolation Tool Standard Operating Procedure Version 1.1 Date: 20-9-2012 Prepared by, Sandeep S S Department of Electrical Engineering IIT Bombay This document is meant for internal circulation only

More information

Usage Policies Notebook for AMST Molecular Vapor Deposition System MVD 100

Usage Policies Notebook for AMST Molecular Vapor Deposition System MVD 100 Usage Policies Notebook for AMST Molecular Vapor Deposition System MVD 100 Revision date September 2014 2 Emergency Plan for AMST MVD 100 Standard Operating Procedures for Emergencies Contact information

More information

Trion PECVD SOP IMPORTANT: NO PLASTIC, TAPE, RESISTS, OR THERMAL PASTE ARE ALLOWED IN THE CHAMBER

Trion PECVD SOP IMPORTANT: NO PLASTIC, TAPE, RESISTS, OR THERMAL PASTE ARE ALLOWED IN THE CHAMBER Trion PECVD SOP IMPORTANT: NO PLASTIC, TAPE, RESISTS, OR THERMAL PASTE ARE ALLOWED IN THE CHAMBER CAUTION: THE CHAMBER PLATE GETS EXTREMELY HOT Start Up Procedure 1) Open bottle and regulator for Helium,

More information

5.1.3 Mechanical Hazards Drive assemblies have sufficient power to cause injury. Keep hands, fingers, clothing and tools clear of moving parts.

5.1.3 Mechanical Hazards Drive assemblies have sufficient power to cause injury. Keep hands, fingers, clothing and tools clear of moving parts. Approved by: Process Engineer / / / / Equipment Engineer 1 SCOPE The purpose of this document is to detail the use of the PE4400. All users are expected to have read and understood this document. It is

More information

NNCI ETCH WORKSHOP SI DRIE IN PLASMATHERM DEEP SILICON ETCHER. Usha Raghuram Stanford Nanofabrication Facility Stanford, CA May 25, 2016

NNCI ETCH WORKSHOP SI DRIE IN PLASMATHERM DEEP SILICON ETCHER. Usha Raghuram Stanford Nanofabrication Facility Stanford, CA May 25, 2016 NNCI ETCH WORKSHOP SI DRIE IN PLASMATHERM DEEP SILICON ETCHER Usha Raghuram Stanford Nanofabrication Facility Stanford, CA May 25, 2016 PLASMATHERM DEEP SI ETCHER PROCESS PARAMETERS Process Parameters/

More information

STS DRIE User SOP Location: NRF Cleanroon, Dry Etch Bay

STS DRIE User SOP Location: NRF Cleanroon, Dry Etch Bay Page 1 of 20 STS DRIE User SOP Location: NRF Cleanroon, Dry Etch Bay Page 2 of 20 STS DRIE SOP The STS DRIE is an Inductively Coupled Plasma Process etcher designed and configured to etch deep high aspect

More information

Operating Procedures for the. SAMCO ICP RIE System

Operating Procedures for the. SAMCO ICP RIE System Operating Procedures for the SAMCO ICP RIE System General Overview: The purpose of the SAMCO Model 200iP Inductively Coupled Plasma Reactive Ion Etcher (ICP RIE) is to etch III-V compound semiconductors

More information

Angstrom Dielectric Sputterer Operation Manual

Angstrom Dielectric Sputterer Operation Manual Angstrom Dielectric Sputterer Operation Manual I. System overview The Angstrom Dielectric Sputterer (ADS) has a similar interface as the Angstrom metal sputterer. It has two screens, the process screen

More information

SSI Solaris 150 RTA Revision /27/2016 Page 1 of 9. SSI Solaris 150 RTA

SSI Solaris 150 RTA Revision /27/2016 Page 1 of 9. SSI Solaris 150 RTA Page 1 of 9 SSI Solaris 150 RTA The Solaris 150 RTA is a rapid thermal annealing system capable of handling sample sizes up to 100mm (4 diameter) or smaller. The system can anneal in N 2 and Forming gas

More information

JETFIRST 150 RTA SYSTEM OPERATING MANUAL Version: 2 Feb 2012

JETFIRST 150 RTA SYSTEM OPERATING MANUAL Version: 2 Feb 2012 JETFIRST 150 RTA SYSTEM OPERATING MANUAL Version: 2 Feb 2012 UNIVERSITY OF TEXAS AT ARLINGTON Nanofabrication Research and Teaching Facility TABLE OF CONTENTS 1. Introduction....2 1.1 Scope of Work.....2

More information

Title: Xactix XeF2 Etcher Semiconductor & Microsystems Fabrication Laboratory Revision: A Rev Date: 03/23/2016

Title: Xactix XeF2 Etcher Semiconductor & Microsystems Fabrication Laboratory Revision: A Rev Date: 03/23/2016 Approved by: Process Engineer / / / / Equipment Engineer 1 SCOPE The purpose of this document is to detail the use of the Xactix XeF2 Etcher. All users are expected to have read and understood this document.

More information

NORDSON MARCH PX-1000 PLASMA ASHER STANDARD OPERATING PROCEDURE Version: 1.0 July 2016

NORDSON MARCH PX-1000 PLASMA ASHER STANDARD OPERATING PROCEDURE Version: 1.0 July 2016 NORDSON MARCH PX-1000 PLASMA ASHER STANDARD OPERATING PROCEDURE Version: 1.0 July 2016 UNIVERSITY OF TEXAS AT ARLINGTON Nanotechnology Research Center TABLE OF CONTENTS 1. Introduction..3 1.1 Scope of

More information

ACV-10 Automatic Control Valve

ACV-10 Automatic Control Valve ACV-10 Automatic Control Valve Installation, Operation & Maintenance General: The Archer Instruments ACV-10 is a precision automatic feed rate control valve for use in vacuum systems feeding Chlorine,

More information

COMELEC C-30-S Parylene Coating System

COMELEC C-30-S Parylene Coating System COMELEC C-30-S Parylene Coating System Comelec C-30-S Parylene deposition system Introduction Parylene is a polymere deposited at room temperature in a vacuum chamber (few µb). Parylene coating is perfectly

More information

Plasma Cleaner. Yamato Scientific America. Contents. Innovating Science for Over 125 Years. Gas Plasma Dry Cleaner PDC200/210/510 PDC610G.

Plasma Cleaner. Yamato Scientific America. Contents. Innovating Science for Over 125 Years. Gas Plasma Dry Cleaner PDC200/210/510 PDC610G. Yamato Scientific America Innovating Science for Over 125 Years Plasma Cleaner Contents Gas Plasma Dry Cleaner PDC200/210/510 PDC610G Gas Plasma Reactor 145 146 147 149 144 Gas Plasma Dry Cleaner Plasma

More information

Standard Operating Manual

Standard Operating Manual Standard Operating Manual Allwin21 AW610 RTP Page 1 of 18 Contents 1 Picture and Location 2 Process Capabilities 2.1 Cleanliness Standard 2.2 Recipes 2.3 Performance of Allwin21 AW610 RTP 3 Contact List

More information

Standard Operating Procedure. For. PVD E-Beam

Standard Operating Procedure. For. PVD E-Beam P a g e 1 Standard Operating Procedure For PVD E-Beam P a g e 2 Introduction The PVD Electron-Beam Evaporator (E-Beam) thin film deposition machine uses a magnetically guided and collimated stream of electrons

More information

CHEMICAL ENGINEERING SENIOR LABORATORY CHEG Initiated Chemical Vapor Deposition

CHEMICAL ENGINEERING SENIOR LABORATORY CHEG Initiated Chemical Vapor Deposition 1 CHEMICAL ENGINEERING SENIOR LABORATORY CHEG 4139 Initiated Chemical Vapor Deposition Objective: The objective of this experiment is to determine the effect of process variables on the deposition of thin

More information

The MRL Furnaces USED FOR THIS MANUAL COVERS

The MRL Furnaces USED FOR THIS MANUAL COVERS The MRL Furnaces USED FOR 1. Making Silicon Oxide films from 10nm to 2um thickness 2. Annealing with temperatures from 30 800C and times from 5min to 2days 3. Diffusion with temperatures from 30 1100C

More information

1.1 Equipment: substrate, wafer tweezers, metal targets 1.2 Personal Protective Equipment: nitrile gloves, safety glasses 1.

1.1 Equipment: substrate, wafer tweezers, metal targets 1.2 Personal Protective Equipment: nitrile gloves, safety glasses 1. Nanomaster NSC-3000 DC Magnetron Sputter Tool Standard Operating Procedure Faculty Supervisor: Prof. Robert White, Mechanical Engineering (x72210) Safety Office: Peter Nowak x73246 (Just dial this directly

More information

Issue: H Title: CHA E-Beam Evaporator Page 1 of 7. Table of Contents

Issue: H Title: CHA E-Beam Evaporator Page 1 of 7. Table of Contents Title: CHA E-Beam Evaporator Page 1 of 7 Table of Contents Purpose/Scope... 2 2.0 Reference Documents... 2 3.0 Equipment/Supplies/Material... 2 4.0 Safety... 2 5.0 Set Up Procedures... 2 5.1 PC Logon and

More information

Angstrom E-Beam Instructions. PROCESS CHECKS: the tooling factors of each metal; Ti/Au layer for wire bonding pull test.

Angstrom E-Beam Instructions. PROCESS CHECKS: the tooling factors of each metal; Ti/Au layer for wire bonding pull test. Angstrom E-Beam Instructions Tool Manager: Joe Palmer (jpalmer@princeton.edu) Office: 8-4706; Cell:609-751-1353 Backup: David Barth (dbarth@princeton.edu) Office: 8-4626; Cell: 610-405-8227 PROCESS CHECKS:

More information

OPERATION. Estimated kerf width compensation. HPR260 Manual Gas Instruction Manual 4-9

OPERATION. Estimated kerf width compensation. HPR260 Manual Gas Instruction Manual 4-9 Estimated kerf width compensation The widths in the chart below are for reference. Differences between installations and material composition may cause the specific user results to vary from those shown

More information

RAM Operation Manual. Worldwide Manufacturer of Gas Detection Solutions

RAM Operation Manual. Worldwide Manufacturer of Gas Detection Solutions RAM 4021 Operation Manual Worldwide Manufacturer of Gas Detection Solutions TABLE OF CONTENTS RAM 4021 For Your Safety... 2 Description.... 2 Setup Mode.... 2 Lights/Alarms.... 3 Operation.... 4 Calibration....

More information

Oerlikon Sputtering Evaporator SOP

Oerlikon Sputtering Evaporator SOP Oerlikon Sputtering Evaporator SOP Short UNT Cleanroom 1. Taking out sample holder from Transport Chamber : Log in FOM to access the software Go to the software and log in with user1 and password user1

More information

Nanofabrication Facility: ECR Etcher SOP Rev. 01b, March 06. Standard Operating Procedure for PlasmaQuest ECR II Etching

Nanofabrication Facility: ECR Etcher SOP Rev. 01b, March 06. Standard Operating Procedure for PlasmaQuest ECR II Etching Standard Operating Procedure for PlasmaQuest ECR II Etching Authors: Rev. 00: Al Schmalz, Vighen Pacradouni and Jeff Young, December 21, 1998 Rev. 01: Dr. Andras G. Pattantyus-Abraham, May 24, 2005 Rev.

More information

RAM 4021-PR. Operation Manual. Worldwide Manufacturer of Gas Detection Solutions

RAM 4021-PR. Operation Manual. Worldwide Manufacturer of Gas Detection Solutions RAM 4021-PR Operation Manual Worldwide Manufacturer of Gas Detection Solutions TABLE OF CONTENTS RAM 4021-PR For Your Safety... 2 Description.... 2 Setup Mode.... 2 Lights/Alarms.... 3 Operation.... 4

More information

Application Note. ASTRON Remote Plasma Source Ignition Best Practices PROBLEM

Application Note. ASTRON Remote Plasma Source Ignition Best Practices PROBLEM PROBLEM The ASTRON family of remote plasma sources produce reactive gas species for semiconductor device fabrication applications. Reliable ignition of the plasma in the ASTRON depends strongly on the

More information

BEST KNOWN METHODS. Transpector XPR3 Gas Analysis System. 1 of 6 DESCRIPTION XPR3 APPLICATIONS PHYSICAL INSTALLATION

BEST KNOWN METHODS. Transpector XPR3 Gas Analysis System. 1 of 6 DESCRIPTION XPR3 APPLICATIONS PHYSICAL INSTALLATION BEST KNOWN METHODS Transpector XPR3 Gas Analysis System DESCRIPTION The Transpector XPR3 is a third-generation, quadrupole-based residual gas analyzer that operates at PVD process pressures and is the

More information

Apex Evaporator Manual

Apex Evaporator Manual Apex Evaporator Manual Table of Contents I. Handling/Transportation of Apex II. Placing the evaporator III. Connecting Power to the control Panel IV. Placing the control panel and weather station V. Attaching

More information

LOCKOUT/TAGOUT PROGRAM

LOCKOUT/TAGOUT PROGRAM 0 Appendix C OCCUPATIONAL SAFETY AND HEALTH PROGRAM LOCKOUT/TAGOUT PROGRAM Hazardous Energy Control Lockout/Tagout Program TABLE OF CONTENTS Section Page I. Purpose and Scope. 1 II. Definitions 1 III.

More information

Usage Policies Notebook for NanoFurnace Furnace (EasyTube 3000 System)

Usage Policies Notebook for NanoFurnace Furnace (EasyTube 3000 System) Usage Policies Notebook for NanoFurnace Furnace (EasyTube 3000 System) Revision date October 2014 2 Emergency Plan for Nano Furnace Standard Operating Procedures for Emergencies Contact information Person

More information

USER MANUAL. Intelligent Diagnostic Controller IDC24-A IDC24-AF IDC24-AFL IDC24-F IDP24-A * IDP24-AF * IDP24-AFL * IDP24-F * 1/73

USER MANUAL. Intelligent Diagnostic Controller IDC24-A IDC24-AF IDC24-AFL IDC24-F IDP24-A * IDP24-AF * IDP24-AFL * IDP24-F * 1/73 USER MANUAL Intelligent Diagnostic Controller IDC24-A IDC24-AF IDC24-AFL IDC24-F IDP24-A * IDP24-AF * IDP24-AFL * IDP24-F * *) Require software ID: DID-SW-001 1/73 Table of contents 1 General... 3 1.1

More information

RAM Operation Manual

RAM Operation Manual RAM 4021-1 Operation Manual Worldwide Manufacturer of Gas Detection Solutions TABLE OF CONTENTS RAM 4021-1 For Your Safety... 2 Description... 2 Setup Mode... 3 Lights/Alarms... 3 Operation... 4 Calibration...

More information

Application Notes. SLP85xD Load Cells

Application Notes. SLP85xD Load Cells Application Notes Load Cells Table of Contents 1 Introduction 3 2 Description of the Filling Cycle 4 3 Filling Optimization 7 4 Filling Monitor 8 4.1 Weight-Based Filling Monitor... 8 4.2 Time-Based Filling

More information

SPUTTER STATION STANDARD OPERATING PROCEDURE

SPUTTER STATION STANDARD OPERATING PROCEDURE SPUTTER STATION STANDARD OPERATING PROCEDURE Purpose of this Instrument: This instrument is used for deposition of thin metal or oxide films. Source materials supplied by WVU Shared Research Facilities:

More information

Operating Procedures for Metal Evaporator I

Operating Procedures for Metal Evaporator I Operating Procedures for Metal Evaporator I Metal Evaporator I is intended as a tool and a training device. Understanding the operation of this equipment should give you a basic knowledge of vacuum and

More information

RAM Operation Manual. Worldwide Manufacturer of Gas Detection Solutions

RAM Operation Manual. Worldwide Manufacturer of Gas Detection Solutions RAM 4021 Operation Manual Worldwide Manufacturer of Gas Detection Solutions TABLE OF CONTENTS RAM 4021 For Your Safety... 2 Description.... 2 Setup Mode.... 2 Lights/Alarms.... 3 Operation.... 4 Calibration....

More information

Leaks, contamination and closed loop control how RGAs make coating processes more profitable

Leaks, contamination and closed loop control how RGAs make coating processes more profitable Leaks, contamination and closed loop control how RGAs make coating processes more profitable Backup: What s an RGA Residual Gas Analyzer An instrument that is measuring the gas composition in a chamber

More information

QUORUM TECH 150RES THE FIRST AND THIRD WEEK WILL BE SET UP FOR CARBON COATING THE SECOND AND LAST WEEK WILL BE SET UP FOR GOLD COATING

QUORUM TECH 150RES THE FIRST AND THIRD WEEK WILL BE SET UP FOR CARBON COATING THE SECOND AND LAST WEEK WILL BE SET UP FOR GOLD COATING QUORUM TECH 150RES This document is intended to describe the function and use of the QuorumTech Q150RES system. Formal training and qualification by staff is required before gaining access to the tool.

More information

University of Minnesota Nano Fabrication Center Standard Operating Procedure

University of Minnesota Nano Fabrication Center Standard Operating Procedure Equipment Name: TYLAN Furnace Coral Name: tylan Revision Number: 5 Model: titian Revisionist: Tony Whipple Location: Bay 1 Date: 7 Nov 2006 1 Description The Tylan system is a furnace system for oxidation,

More information

RAPID THERMAL PROCESSOR (Annealsys AS-ONE 150) Lab Manual

RAPID THERMAL PROCESSOR (Annealsys AS-ONE 150) Lab Manual RAPID THERMAL PROCESSOR (Annealsys AS-ONE 150) Lab Manual Prepared by- Hitesh Kamble Akhil Kumar S Materials not allowed: 1. Processing of Si wafers having back-side metal deposition. 2. Organic material

More information

OPERATION OF THE DIMPLER

OPERATION OF THE DIMPLER OPERATION OF THE DIMPLER After thinning your sample to ~80 μm you can now do a dimpling process to thin the center up to 10 μm. When you walk in and use the DIMPLER it should already be calibrated and

More information

Victoreen B. Operators Manual. Image Intensifier Ion Chamber

Victoreen B. Operators Manual. Image Intensifier Ion Chamber Victoreen 6000-530B Image Intensifier Ion Chamber Operators Manual March 2005 Manual No 6000-530B-1 Rev. 4 2004, 2005 Fluke Corporation, All rights reserved. All product names are trademarks of their respective

More information

Procedures for operation of the TA Instruments DSC

Procedures for operation of the TA Instruments DSC Procedures for operation of the TA Instruments DSC Purpose and Scope: This document describes the procedures and policies for using the MSE TA Instruments DSC. The scope of this document is to establish

More information

Indian Institute of Technology Kanpur Samtel Centre for Display Technologies

Indian Institute of Technology Kanpur Samtel Centre for Display Technologies Fax: + 91-0512-2596620 Phones: + 91-0512-2596622,6088 Indian Institute of Technology Kanpur Samtel Centre for Display Technologies Enquiry number: SCDT/FlexE/2016-17/02 Date:05/05/2016 Sealed Quotations

More information

Chamber Test Testing Theory

Chamber Test Testing Theory Chamber Test Testing Theory A chamber test is used to find leaks in sealed packaging or sealed devices without an opening to use for filling. To test the part, a technique called meteredvolume fill must

More information

PRC CO ² -LASER PRESENTATION

PRC CO ² -LASER PRESENTATION Page 1 of 7 PRC CO ² -LASER PRESENTATION GENERAL CHARACTERISTICS - Embedded PC104 Electronics with exchangeable software allowing very easy integration of customer specified functions: such as eg. specific

More information

RAM 4021-DPX Operation Manual

RAM 4021-DPX Operation Manual RAM 4021-DPX Operation Manual Worldwide Manufacturer of Gas Detection Solutions TABLE OF CONTENTS ABL 4021-DPX / RAM 4021-DPX For Your Safety... 3 Description... 3 Setup Mode... 4 Lights/Alarms... 4 Operation...

More information

Ultima. X Series Gas Monitor

Ultima. X Series Gas Monitor Ultima X Series Gas Monitor Safety Manual SIL 2 Certified " The Ultima X Series Gas Monitor is qualified as an SIL 2 device under IEC 61508 and must be installed, used, and maintained in accordance with

More information

SomnoSuite FAQ. Setup. Calibration 4. What are the calibration requirements for the SomnoSuite? Settings

SomnoSuite FAQ. Setup. Calibration 4. What are the calibration requirements for the SomnoSuite? Settings SomnoSuite FAQ V1.3 January 2015 Setup 1. How do I connect the SomnoSuite to my oxygen source? 2. Is there a way to speed up the downward movement of the pusher block when setting the empty position? 3.

More information

Plasma Asher: March PX-500 User guide (May-30, 2017)

Plasma Asher: March PX-500 User guide (May-30, 2017) Plasma Asher: March PX-500 User guide (May-30, 2017) This is a highly versatile plasma etch tool that can etch using a direct plasma configuration (Oxygen plasma cleaner), a downstream plasma (Remote plasma),

More information

Portable Gas Monitor GX User Maintenance Manual (H4-0050)

Portable Gas Monitor GX User Maintenance Manual (H4-0050) H4E-0050 Portable Gas Monitor GX-8000 User Maintenance Manual (H4-0050) Need of Maintenance and Servicing This gas monitor must be maintained in a normal state at all times to prevent accidents due to

More information

Usage Policies Notebook for Parylene Coating System

Usage Policies Notebook for Parylene Coating System Usage Policies Notebook for Parylene Coating System Revision date September 2014 2 Emergency Plan for Parylene Coating System Standard Operating Procedures for Emergencies Contact information Person Lab

More information

University of MN, Minnesota Nano Center Standard Operating Procedure

University of MN, Minnesota Nano Center Standard Operating Procedure Equipment Name: Image Reversal Oven Badger name: ir-oven Revision #: 2 Model: YES 310 Revisionist: Paul Kimani Location: Bay 2 Date: October 29, 2013 1. Description The Yield Engineering Systems YES-310

More information

FT28_mks.qxp 21/11/ :06 Page 1

FT28_mks.qxp 21/11/ :06 Page 1 FT28_mks.qxp 21/11/2005 14:06 Page 1 The critical components of a production-worthy ALD system CRITICAL Jon Owyang, Jeff Bailey & Subrata Chatterji, Aviza Technology, Inc., CA, USA ABSTRACT Fundamentally,

More information

Approved by Principal Investigator Date: Approved by Super User: Date:

Approved by Principal Investigator Date: Approved by Super User: Date: Approved by Principal Investigator Date: Approved by Super User: Date: Standard Operating Procedure BNC Commonwealth Dual Ion Beam Deposition System (CDIBS) Version 2010 February 14 I. Purpose This Standard

More information

RAM 4021 Operation Manual

RAM 4021 Operation Manual RAM 4021 Operation Manual Worldwide Manufacturer of Gas Detection Solutions TABLE OF CONTENTS RAM 4021 For your safety...3 Description...3 Set-up mode...4 Annunciator lights/alarms...4 Operation...5 Calibration...6

More information

MANUAL FOR SPTS APS (DIELECTRICS ETCHER)

MANUAL FOR SPTS APS (DIELECTRICS ETCHER) MANUAL FOR SPTS APS (DIELECTRICS ETCHER) To be read first: SPTS APS is an etcher dedicated to dielectrics (SiO 2, Si 3 N 4, glass types...). Dielectrics etching in AMS200 is no more CMi standard. AMS200

More information

Department of Electrical Engineering & Computer Science. Electrical Safety. for Staff and Students in EECS Instructional Laboratories

Department of Electrical Engineering & Computer Science. Electrical Safety. for Staff and Students in EECS Instructional Laboratories NEVER WORK ALONE Department of Electrical Engineering & Computer Science Electrical Safety for Staff and Students in EECS Instructional Laboratories If you will be working with energized circuits or equipment

More information

CDS-2000 CO 2 Sensor Verification, Calibration, and Troubleshooting Bulletin

CDS-2000 CO 2 Sensor Verification, Calibration, and Troubleshooting Bulletin Electronic Control Manual 216 Sensors and Stats Section S Technical Bulletin CDS-2000 Issue Date 0393 CDS-2000 CO 2 Sensor Verification, Calibration, and Troubleshooting Bulletin Introduction 3 Pre-Verification

More information

Revised: June 7, 2017

Revised: June 7, 2017 LC Technologies Thermal Evaporator Standard Operating Procedure Faculty Supervisor: Prof. Robert White, Mechanical Engineering (x72210) Safety Office: Peter Nowak x73246 (Just dial this directly on any

More information

LENNOX SLP98UHV DIAGNOSTIC CODES

LENNOX SLP98UHV DIAGNOSTIC CODES Code Status of Equipment Action required to clear and recover - Idle mode (Decimal blinks at 1 Hertz -- 0.5 second ON, 0.5 second OFF) A Cubic feet per minute (cfm) setting for indoor blower (1 second

More information

SINGULUS TECHNOLOGIES

SINGULUS TECHNOLOGIES Fast Vacuum for Optical Disc Metallization and Photovoltaic Coatings B. Cord, M. Hoffmann, O. Hohn, F. Martin SINGULUS Technologies AG, Kahl am Main SINGULUS TECHNOLOGIES June 2014 SINGULUS Overview 25-June-2014-2

More information

Reflow Oven HHL3000 INSTRUCTION MANUAL POHUA - jedyny autoryzowany przedstawiciel w Polsce

Reflow Oven HHL3000 INSTRUCTION MANUAL POHUA - jedyny autoryzowany przedstawiciel w Polsce POHUA - jedyny autoryzowany przedstawiciel w Polsce www.pohua.pl AOYUE TONGYI ELECTRONIC EQUIPMENT FACTORY Jishui Industrial Zone, Nantou, Zhongshan City, Guangdong Province, P. R. China www.aoyue.com

More information

Arizona State University NanoFab XACTIX ETCHER. Rev A

Arizona State University NanoFab XACTIX ETCHER. Rev A Arizona State University NanoFab XACTIX ETCHER Rev A Table of Contents Contents Table of Contents... 1 1. Purpose / Scope... 2 2. Reference Documents... 2 3. Equipment / Supplies / Material... 2 4. Safety...

More information

Misaligned Folds Paper Feed Problems Double Feeds Won t Feed FLYER Won t Run iii

Misaligned Folds Paper Feed Problems Double Feeds Won t Feed FLYER Won t Run iii Operator s Manual Table of Contents Operator Safety... 1 Introduction... 2 Unpacking and Setup... 3 Unpacking... 3 Setup... 4 FLYER Overview... 5 FLYER Diagram... 5 Capabilities... 5 Control Panel... 6

More information

PROPORTIONING VALVE. Model 150 INSTRUCTION MANUAL. March 2017 IMS Company Stafford Road

PROPORTIONING VALVE. Model 150 INSTRUCTION MANUAL. March 2017 IMS Company Stafford Road PROPORTIONING VALVE Model 150 INSTRUCTION MANUAL March 2017 IMS Company 10373 Stafford Road Telephone: (440) 543-1615 Fax: (440) 543-1069 Email: sales@imscompany.com 1 Introduction IMS Company reserves

More information

Appendix D: SOP of INNOVA 1412 Photoacoustic Multi-Gas Monitor. Description and Principle of Operation

Appendix D: SOP of INNOVA 1412 Photoacoustic Multi-Gas Monitor. Description and Principle of Operation Page 1 of 19 : SOP of INNOVA 1412 Photoacoustic Multi-Gas Monitor Description and Principle of Operation The photoacoustic multi-gas monitor (INNOVA 1412, Innova AirTech Instruments, Denmark) is a highly

More information

Approved by BNC Managing Director Paul Lum Date: Approved by PI (s) /Super User (s): _Peter Hosemann/Andy Minor_Date:

Approved by BNC Managing Director Paul Lum Date: Approved by PI (s) /Super User (s): _Peter Hosemann/Andy Minor_Date: Approved by BNC Managing Director Paul Lum Date: Approved by PI (s) /Super User (s): _Peter Hosemann/Andy Minor_Date: Standard Operating Procedure BNC FEI Quanta 3D FEG/FIB ESEM Version 2011 Aug 30 I.

More information