Assertion-Based Verification

Size: px
Start display at page:

Download "Assertion-Based Verification"

Transcription

1 1 Assertion-Based Verification Harry D. Foster Chief Scientist Verification IC Verification Solutions Division February 2018 Outline How Verification is Done Today What Makes Verification Difficult Observability and Controllability Challenge Assertion-Based Verification Industry Case Studies Conclusions 2 H Foster, EE 382M-11, 2 HF, UT Austin, Spring 2018

2 2 HOW VERIFICATION IS DONE TODAY What is Verification? Verification is a process of ensuring that a design implementation meets its specification. 4 H Foster, EE 382M, 4 HF, UT Austin, Spring 2018

3 3 Simulation-Based Techniques Fundamental verification technique in use today Generally scales well Testing all possible states is generally incomplete Measure Coverage Simulation Testbench Generate Stimulus Design Model Check Results Assertions can be used to check results and measure coverage 5 H Foster, EE 382M, 5 HF, UT Austin, Spring 2018 Simulation Traversal Through the State Space // SystemVerilog Assertion initial state property clk) E -> (A==B); endproperty assert property (p_comp); 6 H Foster, EE 382M, 6 HF, UT Austin, Spring 2018

4 4 Time Explosion Problem How long would it take to exhaustively simulate this example? A [31:0] B [31:0] E // SystemVerilog Assertion property clk) E -> (A==B); endproperty assert property (p_comp); 2 64 vectors X 1 vector every micro-second = 584,941 years An extremely fast simulator by today s standards! 7 H Foster, EE 382M, 7 HF, UT Austin, Spring 2018 Simulation and the Time Explosion Problem 2 64 vectors X 1 vector every micro-second = 584,941 years 8 H Foster, EE 382M, 8 HF, UT Austin, Spring 2018

5 5 Formal-Based Techniques Does not require a testbench or input stimulus! Automatically uses algorithms to verify the functionality Verification can be complete Complements simulation-based techniques Formal Tool Pass? Yes Done No Design Model Assertions 9 H Foster, EE 382M, 9 HF, UT Austin, Spring 2018 Conceptual Formal Tool a T x x y T x (a,x,y) // next state z 10 H Foster, EE 382M, 10 HF, UT Austin, Spring 2018

6 6 How is formal different than simulation? initial states // SystemVerilog Assertion property clk) E -> (A==B); endproperty assert property (p_comp); Very fast! 11 H Foster, EE 382M, 11 HF, UT Austin, Spring 2018 State Space Explosion There are more states in today s design than there are atoms in the universe! How many states exist in a typical design today? 12 H Foster, EE 382M, 12 HF, UT Austin, Spring 2018

7 7 WHAT MAKES VERIFICATION DIFFICULT INDUSTRY DRIVERS Rising Design Complexity

8 8 Rise in the Average Number of IP Blocks Avergage Number of IP Blocks Closing the Design Productivity Gap! * 2016* 2017* 2018* Avg. Number of 'Other' SIP Blocks Avg. Number of CPU / DSP / Controllers Avg. Number of Embedded Memory Blocks Source: Semico Research Corp. 15 H Foster, EE 382M, 15 HF, UT Austin, Spring 2018 Design Engineers are Being Productive 1,000,000,000,000, ,000,000,000,000 Transistors produced per electronic engineer more than 5-orders of magnitude since ,000,000,000,000 1,000,000,000, ,000,000,000 Quantity 10,000,000,000 1,000,000, ,000,000 10,000,000 1,000, ,000 10,000 Transistors Produced Total Electronic Engineers Source: Technology Research Group EDA Database, 1986, EDA TAM, 1989 & Gartner/Dataquest 2005 Seat Count Report, Gary Smith EDA, 2013 Seat Count Analysis, VLSI Research, Transistors Produced Analysis 16 H Foster, EE 382M, 16 HF, UT Austin, Spring 2018

9 9 Design Engineers are Being Productive! Revenue/Transistor ($) 1.E+03 1.E+02 1.E+01 1.E+00 1.E-01 1.E-02 1.E-03 1.E-04 1.E-05 1.E-06 1.E-07 1.E-08 Semiconductor Learning Curve Adjusted for Inflation Growth of Transistor Volume Leads to Sustained ~ 30% per Year Cost Reduction 1.E-09 1.E E E E E E E E E E+20 Source: VLSI Research, SIA, Federal Reserve Note: Revenue adjusted for Inflation Cumulative Transistors Shipped 17 H Foster, EE 382M, 17 HF, UT Austin, Spring 2018 We are Keeping Up with Design Complexity! Thanks to Automation and Reuse! 18 H Foster, EE 382M, 18 HF, UT Austin, Spring 2018

10 10 Another View of Moore s Law EDA Cost per Transistor and Total IC Revenue per Transistor Both Decrease About 30% per Year 1.00E E-04 EDA Cost/Transistor ($) 1.00E E E E E E E E-08 IC Revenue/Transistor ($) 1.00E E E E E E E E E E+20 Cumulative Transistors Shipped Note: EDA Cost Consists of EDA License and Maintenance revenue adjusted for Inflation Source: SIA, VLSI Research, Federal Reserve EDA Cost/transistor IC Revenue/transistor 19 H Foster, EE 382M, 19 HF, UT Austin, Spring 2018 Demand for Design Engineers Grows Slowly 12 CAGR Designers 3.6% ASIC/IC Mean Peak Number of Engineers Design Engineers H Foster, EE 382M, 20 HF, UT Austin, Spring 2018

11 11 But what about Verification Productivity? ASIC/IC Mean Peak Number of Engineers CAGR Designers 3.6% CAGR Verifiers 10.4% Design Engineers Verification Engineers H Foster, EE 382M, 21 HF, UT Austin, Spring 2018 INDUSTRY DRIVERS Rising Verification Complexity

12 12 The Emergence of New Layers of Verification Software Verification Layers Security Domains Power Domains Clock Domains Functional 23 H Foster, EE 382M, 23 HF, UT Austin, Spring 2018 What Makes Verification Difficult? Channel Encoder Decoder TX Data Link Layer PHY Single, sequential data streams Floating point unit Graphics shading unit DSP convolution unit MPEG decode... Compressed Audio RX Multiple, concurrent data streams Cross bar Bus traffic controller DMA controller Standard I/F (e.g., PCIe)... Sequential data streams 1x number of bugs -Ted Scardamalia, internal IBM study Concurrent data streams 5x number of bugs 24 H Foster, EE 382M, 24 HF, UT Austin, Spring 2018

13 13 Directed-Test Approach Imagine verifying a car using a directed-test approach Requirement: Fuse will not blow under any normal operation Scenario 1: accelerate to 37 mph, pop in the new Lady GaGa CD, and turn on the windshield wipers 25 H Foster, EE 382M, 25 HF, UT Austin, Spring 2018 A FEW WEEKS LATER

14 14 Directed-Test Approach Imagine verifying a car using a directed-test approach Requirement: Fuse will not blow under any normal operation Scenario 714: accelerate to 48 mph, roll down the window, and turn on the left-turn signal 27 H Foster, EE 382M, 27 HF, UT Austin, Spring 2018 The Concurrency Challenge A purely directed-test methodology does not scale Imagine writing a directed test for this scenario! Truly heroic effort but not practical 28 H Foster, EE 382M, 28 HF, UT Austin, Spring 2018

15 15 Finding Corner Case Bugs Due to Concurrency Directed-test-based simulation finds the bugs you can think of Constrained-random simulation finds the bugs you never anticipated! 29 H Foster, EE 382M, 29 HF, UT Austin, Spring 2018 Concurrency is Complicated to Verify Packet-Based Design From Fabric Tx Transaction Layer Packet Reformater Retry Buffer Arbiter To PHY Data Link Layer Packet Reformater Rx From Rx Channel 30 H Foster, EE 382M, 30 HF, UT Austin, Spring 2018

16 16 Adoption Trends in Verification Techniques Code coverage Assertions Functional coverage Constrained-Random Simulation 0% 10% 20% 30% 40% 50% 60% 70% 80% Design Projects Source: Wilson Research Group and Mentor Graphics, 2014 Functional Verification Study 31 H Foster, EE 382M, 31 HF, UT Austin, Spring 2018 OBSERVABILITY & CONTROLLABILITY

17 17 Fundamental Challenge of Verification DUT Activate Stimulus A A A 3. Detect A 2. Propagate Checkers A = Assertions 33 H Foster, EE 382M, 33 HF, UT Austin, Spring 2018 Observability vs. Controllability Test didn t set up the condition to propagate the bug bug A Assertions improve observability and reduce the need to propagate bugs 34 H Foster, EE 382M, 34 HF, UT Austin, Spring 2018

18 18 Poor Observability Misses Bugs Code coverage measures controllability 100% code coverage does not mean all bugs are detected [S. Devadas, A. Ghosh, and K. Keutzer. DAC 1996] DAC paper study found cases where: Code Coverage Achieved % of covered lines observable 90% Covered Only 54% Observable 100% Covered Only 70% Observable 35 H Foster, EE 382M, 35 HF, UT Austin, Spring 2018 Assertions Improve Observability Testbench = Bugs missed due to poor observability = Reduce debugging up to 50% [CAV 2000, IBM FoCs paper] Bugs detected closer to their source due to improved observability 36 H Foster, EE 382M, 36 HF, UT Austin, Spring 2018

19 Where Verification Engineers Spend Their Time 37% Test Planning 24% 3% 14% Testbench Development Creating Test and Running Simulation Debug 22% Other Source: Wilson Research Group and Mentor Graphics, 2014 Functional Verification Study 37 H Foster, EE 382M, 37 HF, UT Austin, Spring 2018 Designers Spend a Lot of Time in Verification & Debug 60% Mean time design engineer spends in design vs. verification 55% 50% 54% 46% 51% 49% 53% Doing Design Doing Verification 53% 47% 45% 47% 40% Source: Wilson Research Group and Mentor Graphics, 2014 Functional Verification Study 38 H Foster, EE 382M, 38 HF, UT Austin, Spring 2018

20 20 ASSERTION-BASED VERIFICATION Assertion-Based Verification How can one check a large routine in the sense of making sure that it s right? In order that the man who checks may not have too difficult a task, the programmer should make a number of definite assertions which can be checked individually, and from which the correctness of the whole program easily flows. Alan Turing, H Foster, EE 382M, 40 HF, UT Austin, Spring 2018

21 21 Property Property a statement of design intent used to specify behavior test env Testbench DUT 41 H Foster, EE 382M, 41 HF, UT Austin, Spring 2018 Assertion Property a statement of design intent used to specify behavior Assertion A verification directive test env Testbench A Trace from simulation DUT 42 H Foster, EE 382M, 42 HF, UT Austin, Spring 2018

22 22 High-Level Assertion Property a statement of design intent used to specify behavior Assertion A verification directive High-level Architectural focused Can be part of testbench test env Testbench A Trace from simulation DUT 43 H Foster, EE 382M, 43 HF, UT Austin, Spring 2018 Low-Level Assertion Property a statement of design intent used to specify behavior Assertion A verification directive High-level Architectural focused Can be part of testbench RTL Low-level Implementation focused Embedded in or bind to the RTL // Assert that the FIFO controller // cannot overflow nor underflow A A 44 H Foster, EE 382M, 44 HF, UT Austin, Spring 2018

23 23 How Assertions Are Used Today State Search Testbench RTL Formal Prop s passing tests Assertions improved bug rate FPGA or Emulation Formal Verification Simulation O/S Trials [Foster, Larsen, Turpin - DVCon 2006] 45 H Foster, EE 382M, 45 HF, UT Austin, Spring 2018 Who should create the assertions? Verification Engineer Design Engineer High-Level Assertions Requirement focused Black-box assertions Accounted for in testplan Compliance traceability Create reusable ABV IP Low-Level Assertions Implementation focused White-box assertions Not accounted for in testplan Improve observability Reduce debugging time 46 H Foster, EE 382M, 46 HF, UT Austin, Spring 2018

24 24 Who should create high-level assertions? Verification Engineer Design Engineer High-Level Assertions Requirement focused Black-box assertions Accounted for in testplan Compliance traceability Create reusable ABV IP Low-Level Assertions Implementation focused White-box assertions Not accounted for in testplan Improve observability Reduce debugging time 47 H Foster, EE 382M, 47 HF, UT Austin, Spring 2018 Who should create low-level assertions? Verification Engineer Design Engineer High-Level Assertions Requirement focused Black-box assertions Accounted for in testplan Compliance traceability Create reusable ABV IP Low-Level Assertions Implementation focused White-box assertions Not accounted for in testplan Improve observability Reduce debugging time 48 H Foster, EE 382M, 48 HF, UT Austin, Spring 2018

25 25 Specifying Design Intent Assertions allow us to specify design intent in a way that lends itself to automation clk reset_n req0 req1 Arbiter grant0 grant1 // Assert that the grants for our simple arbiter are mutually exclusive 49 H Foster, EE 382M, 49 HF, UT Austin, Spring 2018 Identifying the Error Condition For our arbiter example, we can write a Boolean expression for the error condition, as follows: clk reset_n req0 req1 Arbiter grant0 grant1 (grant0 & grant1) // error condition 50 H Foster, EE 382M, 50 HF, UT Austin, Spring 2018

26 26 Checking the Error Condition before Assertions Doesn t lend itself to automation. module arbiter (clk, rst_n, req0, req1, grant0, grant1);... clk or negedge rst_n) begin if (rst_n!= 1 b0) // active low reset if (grant0 & grant1) $display ( ERROR: Grants not mutex );... endmodule Error Condition Boolean Expression H Foster, EE 382M, HF, UT Austin, Spring 2018 Assertion Language Adoption Design Projects 80% 70% 60% 50% 40% 30% 2007 World 2012 World 2014 World 20% 10% 0% Accellera Open Verification Library (OVL) SystemVerilog Assertions (SVA) PSL Assertion Languages and Libraries Other * Multiple answers possible Source: Wilson Research Group and Mentor Graphics, 2014 Functional Verification Study 52 H Foster, EE 382M, 52 HF, UT Austin, Spring 2018

27 27 IEEE 1800 SystemVerilog Mutex Example grant0 and grant1 must be mutually exclusive clk grant0 grant1 error assert property clk) disable iff (~rst_n)!(grant0 & grant1)); 53 H Foster, EE 382M, 53 HF, UT Austin, Spring 2018 IEEE 1850 PSL Mutex Example grant0 and grant1 must be mutually exclusive clk grant0 grant1 error assert always (!(grant0 & grant1) abort clk); 54 H Foster, EE 382M, 54 HF, UT Austin, Spring 2018

28 28 Accellera OVL Mutex Example grant0 and grant1 must be mutually exclusive clk grant0 grant1 error ovl_never a_mutex (clk, rst_n, (grant0 & grant1)); 55 H Foster, EE 382M, 55 HF, UT Austin, Spring 2018 INDUSTRY CASE STUDIES

29 29 Published Data on Assertions Use Percentage bugs found by various techniques Assertion Monitors 34% Cache Coherency Checkers 9% Register File Trace Compare 8% Memory State Compare 7% End-of-Run State Compare 6% PC Trace Compare 4% Self-Checking Test 11% Simulation Output Inspection 7% Simulation Hang 6% Other 8% Kantrowitz and Noack [DAC 1996] Assertion Monitors 25% Register Miscompare 22% Simulation "No Progress 15% PC Miscompare 14% Memory State Miscompare 8% Manual Inspection 6% Self-Checking Test 5% Cache Coherency Check 3% SAVES Check 2% Taylor et al. [DAC 1998] 17% of bugs found by assertions on Cyrix M3(p1) project [Krolnik '98] 50% of bugs found by assertions on Cyrix M3(p2) project [Krolnik 98] 85% of bugs found using over 4000 assertions on an HP server chipset project [Foster and Coelho HDLCon 2001] Thousands of assertions in Intel Pentium project [Bentley 2001] 10,000 OVL assertion in Cisco project [Sean Smith 2002] 57 H Foster, EE 382M, 57 HF, UT Austin, Spring 2018 DAC 2008 Sun paper with lots of metrics Assertion-Based Verification of a 32 thread SPARC CMT Processor [Turumella, Sharma, DAC 2008] Category Unique Instantiated Low-Level Interface High-Level Bugs Found by Type of Assertion Low-level Interface High-level 58 H Foster, EE 382M, 58 HF, UT Austin, Spring 2018

30 30 Significant reduction in debugging time Assertion-Based Verification of a 32 thread SPARC CMT Processor [Turumella, Sharma, DAC 2008] Category Unique Instantiated Low-Level Interface High-Level Hours Average Debug Time >50% 85% Formal Sim + Assert Sim + None Formal Sim + Assert Sim + None 59 H Foster, EE 382M, 59 HF, UT Austin, Spring 2018 SUMMARY

31 31 Assertion-Based Verification The process of creating assertions forces the engineer to think... and in this incredible world of automation, there is no substitute for thinking. 61 H Foster, EE 382M, 61 HF, UT Austin, Spring

Who takes the driver seat for ISO and DO 254 verification?

Who takes the driver seat for ISO and DO 254 verification? Who takes the driver seat for ISO 26262 and DO 254 verification? Reconciling requirement based verification with coverage-driven verification Avidan Efody, Mentor Graphics Corp. Motivation ISO and DO users

More information

VLSI Design 12. Design Styles

VLSI Design 12. Design Styles 1 9 8 5 1 9 8 7 1 9 9 1 1 9 9 3 1 9 9 9 2 0 0 1 2 0 0 5 2 0 0 7 2 0 0 9 Pr odu ctiv it y (T r an s./ St a f -M o nt h ) VLSI Design Last module: Floorplanning Sequential circuit design Clock skew This

More information

Welcome to Accellera Day! AGENDA Accellera overview Technical Excellence Award Update: Accellera Portable Stimulus Working Group

Welcome to Accellera Day! AGENDA Accellera overview Technical Excellence Award Update: Accellera Portable Stimulus Working Group Welcome to Accellera Day! AGENDA Accellera overview Technical Excellence Award Update: Accellera Portable Stimulus Working Group Accellera Overview February 29, 2016 Shishpal Rawat Chairman Welcome Agenda

More information

Best Practices for Developing IBIS-AMI Models

Best Practices for Developing IBIS-AMI Models Best Practices for Developing IBIS-AMI Models Walter Katz, SiSoft wkatz@sisoft.com Mike Steinberger, SiSoft msteinb@sisoft.com Todd Westerhoff, SiSoft twesterh@sisoft.com DAC 2010 IBIS Summit Anaheim,

More information

Layout Design II. Lecture Fall 2003

Layout Design II. Lecture Fall 2003 Layout Design II Lecture 6 18-322 Fall 2003 Roadmap Today: Layout Verification & design in the large Next week: Transistor sizing Wires Homework 1: Due Today Homework 2: Out Today, Due Sept 18 Lab 2: This

More information

New IBIS Techniques for Modeling Complex IO Cadence Webinar March 23, 2005 Donald Telian

New IBIS Techniques for Modeling Complex IO Cadence Webinar March 23, 2005 Donald Telian New IBIS Techniques for Modeling Complex IO Cadence Webinar March 23, 2005 Donald Telian 1 CADENCE DESIGN SYSTEMS, INC. About the Presenter Donald Telian 20+ years in high-speed PCB and SI Engineer at

More information

The Universal Translator

The Universal Translator The Universal Translator David Cornfield dcornfield@apm.com Accellera Systems Initiative 1 Traditional Agents A Agent A Agent Chip ~ s m d A ~ s m d A B Agent B Agent m ~ s d B m ~ s d B Unit Level Chip

More information

Accellera Systems Initiative SystemC Standards Update

Accellera Systems Initiative SystemC Standards Update Accellera Systems Initiative SystemC Standards Update Martin Barnasconi, Philipp A. Hartmann, Trevor Wieman Inaugural DVCon Europe, October 14, 2014 Accellera Systems Initiative Presentation Overview Accellera

More information

Part of the slides. Part of figures from. adapted reused from slides by Alberto Sangiovanni- Vincentelli

Part of the slides. Part of figures from. adapted reused from slides by Alberto Sangiovanni- Vincentelli TKT-2431 Soc Design Lec 2 Reuse of IP components Erno Salminen, Tero Arpinen Department of Computer Systems Tampere University of Technology Fall 2010 Erno Salminen - Sep. 2010 Copyright notice Part of

More information

THE CANDU 9 DISTRffiUTED CONTROL SYSTEM DESIGN PROCESS

THE CANDU 9 DISTRffiUTED CONTROL SYSTEM DESIGN PROCESS THE CANDU 9 DISTRffiUTED CONTROL SYSTEM DESIGN PROCESS J.E. HARBER, M.K. KATTAN Atomic Energy of Canada Limited 2251 Speakman Drive, Mississauga, Ont., L5K 1B2 CA9900006 and M.J. MACBETH Institute for

More information

An Architecture for Combined Test Data Compression and Abort-on-Fail Test

An Architecture for Combined Test Data Compression and Abort-on-Fail Test An Architecture for Combined Test Data Compression and Abort-on-Fail Test Erik Larsson and Jon Persson Embedded Systems Laboratory Department of Computer and Information Science Linköpings Universitet,

More information

Profile-driven Selective Code Compression

Profile-driven Selective Code Compression Profile-driven Selective Code Compression Yuan Xie and Wayne Wolf Electrical Engineering Department Princeton University Princeton, NJ 08540, USA yuanxie,wolf@ee.princeton.edu Haris Lekatsas NEC USA 4

More information

Department of Computer Systems. Reusing verfieid components is much better than re-inventing the wheel!

Department of Computer Systems. Reusing verfieid components is much better than re-inventing the wheel! TKT-2431 Soc Design Lec 2 Reuse of IP components Erno Salminen Tampere University of Technology Fall 2011 Reusing verfieid components is much better than re-inventing the wheel! Erno Salminen - Sep. 2011

More information

Virtual Breadboarding. John Vangelov Ford Motor Company

Virtual Breadboarding. John Vangelov Ford Motor Company Virtual Breadboarding John Vangelov Ford Motor Company What is Virtual Breadboarding? Uses Vector s CANoe product, to simulate MATLAB Simulink models in a simulated or real vehicle environment. Allows

More information

Decompression Method For Massive Compressed Files In Mobile Rich Media Applications

Decompression Method For Massive Compressed Files In Mobile Rich Media Applications 2010 10th IEEE International Conference on Computer and Information Technology (CIT 2010) Decompression Method For Massive Compressed Files In Mobile Rich Media Applications Houchen Li, Zhijie Qiu, Lei

More information

Cloud, Distributed, Embedded. Erlang in the Heterogeneous Computing World. Omer

Cloud, Distributed, Embedded. Erlang in the Heterogeneous Computing World. Omer Cloud, Distributed, Embedded. Erlang in the Heterogeneous Computing World Omer Kilic @OmerK omer@erlang-solutions.com Outline Challenges in modern computing systems Heterogeneous computing Co-processors

More information

Failure modes and models

Failure modes and models Part 5: Failure modes and models Course: Dependable Computer Systems 2007, Stefan Poledna, All rights reserved part 5, page 1 Failure modes The way a system can fail is called its failure mode. Failure

More information

Reduction of Bitstream Transfer Time in FPGA

Reduction of Bitstream Transfer Time in FPGA IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 9, Issue 2, Ver. III (Mar - Apr. 2014), PP 82-86 Reduction of Bitstream Transfer Time in

More information

Module 3 Developing Timing Plans for Efficient Intersection Operations During Moderate Traffic Volume Conditions

Module 3 Developing Timing Plans for Efficient Intersection Operations During Moderate Traffic Volume Conditions Module 3 Developing Timing Plans for Efficient Intersection Operations During Moderate Traffic Volume Conditions CONTENTS (MODULE 3) Introduction...1 Purpose...1 Goals and Learning Outcomes...1 Organization

More information

New Thinking in Control Reliability

New Thinking in Control Reliability Doug Nix, A.Sc.T. Compliance InSight Consulting Inc. New Thinking in Control Reliability Or Your Next Big Headache www.machinerysafety101.com (519) 729-5704 Control Reliability Burning Questions from the

More information

Spacecraft Simulation Tool. Debbie Clancy JHU/APL

Spacecraft Simulation Tool. Debbie Clancy JHU/APL FSW Workshop 2011 Using Flight Software in a Spacecraft Simulation Tool Debbie Clancy JHU/APL debbie.clancy@jhuapl.edu 443-778-7721 Agenda Overview of RBSP and FAST Technical Challenges Dropping FSW into

More information

82C288 BUS CONTROLLER FOR PROCESSORS (82C C C288-8)

82C288 BUS CONTROLLER FOR PROCESSORS (82C C C288-8) BUS CONTROLLER FOR 80286 PROCESSORS (82C288-12 82C288-10 82C288-8) Y Provides Commands and Controls for Local and System Bus Y Wide Flexibility in System Configurations Y High Speed CHMOS III Technology

More information

Synchronous Sequential Logic. Topics. Sequential Circuits. Chapter 5 Steve Oldridge Dr. Sidney Fels. Sequential Circuits

Synchronous Sequential Logic. Topics. Sequential Circuits. Chapter 5 Steve Oldridge Dr. Sidney Fels. Sequential Circuits Synchronous Sequential Logic Chapter 5 Steve Oldridge Dr. Sidney Fels Sequential Circuits Topics What happens when we add memory? Latches Flip-Flops Clocks State Tables Reduction of States 10/6/2010 c

More information

AC : MEASUREMENT OF HYDROGEN IN HELIUM FLOW

AC : MEASUREMENT OF HYDROGEN IN HELIUM FLOW AC 2010-2145: MEASUREMENT OF HYDROGEN IN HELIUM FLOW Randy Buchanan, University of Southern Mississippi Christopher Winstead, University of Southern Mississippi Anton Netchaev, University of Southern Mississippi

More information

Safety Critical Systems

Safety Critical Systems Safety Critical Systems Mostly from: Douglass, Doing Hard Time, developing Real-Time Systems with UML, Objects, Frameworks And Patterns, Addison-Wesley. ISBN 0-201-49837-5 1 Definitions channel a set of

More information

Coverage Closure Is it a Game of Dice or Top 10 Tests or Automated Closure? Aditya Sharma, T. Nagasundaram, M. Nitin Kumar, Nikhita Raj J

Coverage Closure Is it a Game of Dice or Top 10 Tests or Automated Closure? Aditya Sharma, T. Nagasundaram, M. Nitin Kumar, Nikhita Raj J Coverage Closure Is it a Game of Dice or Top 10 Tests or Automated Closure? Aditya Sharma, T. Nagasundaram, M. Nitin Kumar, Nikhita Raj J 1 Agenda Conventional Coverage Closure : CRV Smart Selection of

More information

Application Note PowerPC 750GX Lockstep Facility

Application Note PowerPC 750GX Lockstep Facility Abstract Typical lockstep systems are complicated to design, and typically require a large pin count of logic to be added to the system. The IBM PowerPC 750GX contains a lockstep facility that allows lockstep

More information

ROUNDABOUT CAPACITY: THE UK EMPIRICAL METHODOLOGY

ROUNDABOUT CAPACITY: THE UK EMPIRICAL METHODOLOGY ROUNDABOUT CAPACITY: THE UK EMPIRICAL METHODOLOGY 1 Introduction Roundabouts have been used as an effective means of traffic control for many years. This article is intended to outline the substantial

More information

Courseware Sample F0

Courseware Sample F0 Electric Power / Controls Courseware Sample 85303-F0 A ELECTRIC POWER / CONTROLS COURSEWARE SAMPLE by the Staff of Lab-Volt Ltd. Copyright 2009 Lab-Volt Ltd. All rights reserved. No part of this publication

More information

The Safety Case. Structure of Safety Cases Safety Argument Notation

The Safety Case. Structure of Safety Cases Safety Argument Notation The Safety Case Structure of Safety Cases Safety Argument Notation Budapest University of Technology and Economics Department of Measurement and Information Systems The safety case Definition (core): The

More information

IDeA Competition Report. Electronic Swimming Coach (ESC) for. Athletes who are Visually Impaired

IDeA Competition Report. Electronic Swimming Coach (ESC) for. Athletes who are Visually Impaired IDeA Competition Report Electronic Swimming Coach (ESC) for Athletes who are Visually Impaired Project Carried Out Under: The Department of Systems and Computer Engineering Carleton University Supervisor

More information

Accellera Systems Initiative SystemC Standards Update

Accellera Systems Initiative SystemC Standards Update Accellera Systems Initiative SystemC Standards Update Martin Barnasconi (NXP), Philipp A. Hartmann (Intel), Stephan Schulz (Fraunhofer) Accellera Systems Initiative Presentation Overview Accellera Overview

More information

GOLOMB Compression Technique For FPGA Configuration

GOLOMB Compression Technique For FPGA Configuration GOLOMB Compression Technique For FPGA Configuration P.Hema Assistant Professor,EEE Jay Shriram Group Of Institutions ABSTRACT Bit stream compression is important in reconfigurable system design since it

More information

Software Reliability 1

Software Reliability 1 Software Reliability 1 Software Reliability What is software reliability? the probability of failure-free software operation for a specified period of time in a specified environment input sw output We

More information

Training Fees 3,400 US$ per participant for Public Training includes Materials/Handouts, tea/coffee breaks, refreshments & Buffet Lunch.

Training Fees 3,400 US$ per participant for Public Training includes Materials/Handouts, tea/coffee breaks, refreshments & Buffet Lunch. Training Title DISTRIBUTED CONTROL SYSTEMS (DCS) 5 days Training Venue and Dates DISTRIBUTED CONTROL SYSTEMS (DCS) Trainings will be conducted in any of the 5 star hotels. 5 22-26 Oct. 2017 $3400 Dubai,

More information

Session Objectives. At the end of the session, the participants should: Understand advantages of BFD implementation on S9700

Session Objectives. At the end of the session, the participants should: Understand advantages of BFD implementation on S9700 BFD Features Session Objectives At the end of the session, the participants should: Understand advantages of BFD implementation on S9700 Understand when to use BFD on S9700 1 Contents BFD introduction

More information

Using MATLAB with CANoe

Using MATLAB with CANoe Version 2.0 2017-03-09 Application Note AN-IND-1-007 Author Restrictions Abstract Vector Informatik GmbH Public Document This application note describes the usage of MATLAB /Simulink combined with CANoe.

More information

Oxygen Meter User Manual

Oxygen Meter User Manual Oxygen Meter User Manual Monday, July 23, 2007 1. Outline...2 2. Program...3 2.1. Environment for program execution...3 2.2. Installation...3 2.3. Un installation...3 2.4. USB driver installation...3 2.5.

More information

AN AUTONOMOUS DRIVER MODEL FOR THE OVERTAKING MANEUVER FOR USE IN MICROSCOPIC TRAFFIC SIMULATION

AN AUTONOMOUS DRIVER MODEL FOR THE OVERTAKING MANEUVER FOR USE IN MICROSCOPIC TRAFFIC SIMULATION AN AUTONOMOUS DRIVER MODEL FOR THE OVERTAKING MANEUVER FOR USE IN MICROSCOPIC TRAFFIC SIMULATION OMAR AHMAD oahmad@nads-sc.uiowa.edu YIANNIS E. PAPELIS yiannis@nads-sc.uiowa.edu National Advanced Driving

More information

A Study on Algorithm for Compression and Decompression of Embedded Codes using Xilinx

A Study on Algorithm for Compression and Decompression of Embedded Codes using Xilinx A Study on Algorithm for Compression and Decompression of Embedded Codes using Xilinx M.Mohana Soundarya 1, Dr.S.Jayachitra 2 1 PG Scholar, Department of ECE, Vivekanandha College of Engineering for Women,

More information

Software for electronic scorekeeping of volleyball matches, developed and distributed by:

Software for electronic scorekeeping of volleyball matches, developed and distributed by: Software for electronic scorekeeping of volleyball matches, developed and distributed by: Developed for the rules of USports 2017-18 As adopted by Ontario University Athletics for Men s & Women s Volleyball

More information

Wire Buddy Manual IOpath Software

Wire Buddy Manual IOpath Software Wire Buddy Manual IOpath Software Wire Buddy is a calculation reference tool for Electricians and Maintenance personnel. Wire Buddy was created for the Maintenance Electrician. There are many occasions

More information

Understanding the How, Why, and What of a Safety Integrity Level (SIL)

Understanding the How, Why, and What of a Safety Integrity Level (SIL) Understanding the How, Why, and What of a Safety Integrity Level (SIL) Audio is provided via internet. Please enable your speaker (in all places) and mute your microphone. Understanding the How, Why, and

More information

Design of AMBA APB Protocol

Design of AMBA APB Protocol Design of AMBA APB Protocol G. Venkat Rao 1, Pasam Abhigna 2, J. Naveen 3, S. Vineeth Reddy 4 1 Assistant Professor Department of ECE Geethanjali College of engineering and technology 2, 3, 4 Bachelor

More information

AddressBus 32. D-cache. Main Memory. D- Engine CPU. DataBus 1. DataBus 2. I-cache

AddressBus 32. D-cache. Main Memory. D- Engine CPU. DataBus 1. DataBus 2. I-cache Code Compression as a Variable in Hardware/Software Co-Design Haris Lekatsas Jorg Henkel Wayne Wolf Princeton University NEC USA Princeton University Abstract We present a new way to practice and view

More information

10 Best Practices for Electrosurgical Unit Testing

10 Best Practices for Electrosurgical Unit Testing 10 Best Practices for Electrosurgical Unit Testing Tips to quickly and effectively test electrosurgical devices to ensure performance and safety White Paper Let s start with the basics. Electrosurgical

More information

PSM I PROFESSIONAL SCRUM MASTER

PSM I PROFESSIONAL SCRUM MASTER PSM I PROFESSIONAL SCRUM MASTER 1 Upon What kind of process control is SCRUM based? a) IDEAL b) SCRUM enterprise c) Empirical d) Agile 2 If burndown charts are used to visualize progress, what do they

More information

Efficient Placement of Compressed Code for Parallel Decompression

Efficient Placement of Compressed Code for Parallel Decompression Efficient Placement of Compressed Code for Parallel Decompression Xiaoke Qin and Prabhat Mishra Department of Computer and Information Science and Engineering University of Florida, Gainesville FL 32611-6120,

More information

Design and Simulation of a Pipelined Decompression Architecture for Embedded Systems

Design and Simulation of a Pipelined Decompression Architecture for Embedded Systems Design and Simulation of a Pipelined Decompression Architecture for Embedded Systems Haris Lekatsas Jörg Henkel Wayne Wolf NEC USA NEC USA Princeton University Abstract In the past, systems utilizing code

More information

Configuring Bidirectional Forwarding Detection for BGP

Configuring Bidirectional Forwarding Detection for BGP CHAPTER 7 Configuring Bidirectional Forwarding Detection for BGP This chapter describes how to configure Bidirectional Forwarding Detection (BFD) for BGP. This chapter includes the following sections:

More information

An STPA Tool. Dajiang Suo, John Thomas

An STPA Tool. Dajiang Suo, John Thomas An STPA Tool Dajiang Suo, John Thomas Structure of an Unsafe Control Action Example: Operator provides open train door command when train is moving Control Actions Operator Train Door 2 Structure of an

More information

GLAST Large Area Telescope Monthly Mission Review

GLAST Large Area Telescope Monthly Mission Review GLAST Large Area Telescope Monthly Mission Review Gamma-ray Large Area Space Telescope LAT DAQ and Flight Software Status July 28, 2006 Gunther Haller Stanford Linear Accelerator Center Topics Hardware

More information

The Safety Case. The safety case

The Safety Case. The safety case The Safety Case Structure of safety cases Safety argument notation Budapest University of Technology and Economics Department of Measurement and Information Systems The safety case Definition (core): The

More information

Avoiding Short Term Overheat Failures of Recovery Boiler Superheater Tubes

Avoiding Short Term Overheat Failures of Recovery Boiler Superheater Tubes Avoiding Short Term Overheat Failures of Recovery Boiler Superheater Tubes Dr. Andrew K. Jones International Paper Tim Carlier Integrated Test and Measurement 2017 International Chemical Recovery Conference

More information

The Future of Retailing in Canada to 2018

The Future of Retailing in Canada to 2018 Comprehensive data overview of the market, with retail sales value and forecasts to 2018 Report Code: RT0191MR Report Price: US$4,950 (Single Copy) www.conlumino-winesandspirits.com Summary The Future

More information

D-Case Modeling Guide for Target System

D-Case Modeling Guide for Target System D-Case Modeling Guide for Target System 1/32 Table of Contents 1 Scope...4 2 Overview of D-Case and SysML Modeling Guide...4 2.1 Background and Purpose...4 2.2 Target System of Modeling Guide...5 2.3 Constitution

More information

The Future of Retailing in Norway to 2018

The Future of Retailing in Norway to 2018 Comprehensive data overview of the market, with retail sales value and forecasts to 2018 Report Code: RT0197MR Report Price: US$4,950 (Single Copy) www.conlumino-winesandspirits.com Summary The Future

More information

A Methodology for Using Traffic Generators with Real-Time Constraints. Avinash Mehta Sr. Research and Development Engineer Synopsys India Pvt. Ltd.

A Methodology for Using Traffic Generators with Real-Time Constraints. Avinash Mehta Sr. Research and Development Engineer Synopsys India Pvt. Ltd. A Methodology for Using Traffic Generators with Real-Time Constraints Avinash Mehta Sr. Research and Development Engineer Synopsys India Pvt. Ltd. 1 Agenda Introduction Real time traffic challenges Proposed

More information

Using the Lego NXT with Labview.

Using the Lego NXT with Labview. Using the Lego NXT with Labview http://www.legoengineering.com/component/content/article/105 The Lego NXT 32-bit ARM microcontroller - an Atmel AT91SAM7S256. Flash memory/file system (256 kb), RAM (64

More information

Example 4 - Airbag. Summary

Example 4 - Airbag. Summary Example 4 - Airbag Summary This example deals with the deployment of a chambered airbag modeled by monitored volumes using communications. The airbag is initially folded along four fold lines. The fabric

More information

An Efficient Code Compression Technique using Application-Aware Bitmask and Dictionary Selection Methods

An Efficient Code Compression Technique using Application-Aware Bitmask and Dictionary Selection Methods An Efficient Code Compression Technique using Application-Aware Bitmask and Selection Methods Seok-Won Seong sseong@cise.ufl.edu Prabhat Mishra prabhat@cise.ufl.edu Department of Computer and Information

More information

CS 341 Computer Architecture and Organization. Lecturer: Bob Wilson Cell Phone: or

CS 341 Computer Architecture and Organization. Lecturer: Bob Wilson Cell Phone: or CS 341 Computer Architecture and Organization Lecturer: Bob Wilson Cell Phone: 508-577-9895 Email: robert.wilson@umb.edu or bobw@cs.umb.edu 1 Welcome to CS341 This course teaches computer architecture

More information

The Future of Retailing in the Philippines to 2018

The Future of Retailing in the Philippines to 2018 Comprehensive data overview of the market, with retail sales value and forecasts to 2018 Report Code: RT0185MR Report Price: US$4,950 (Single Copy) www.conlumino-winesandspirits.com Summary "The Future

More information

Queue analysis for the toll station of the Öresund fixed link. Pontus Matstoms *

Queue analysis for the toll station of the Öresund fixed link. Pontus Matstoms * Queue analysis for the toll station of the Öresund fixed link Pontus Matstoms * Abstract A new simulation model for queue and capacity analysis of a toll station is presented. The model and its software

More information

MEMORANDUM. City Constituents. Leilani Schwarcz, Vision Zero Surveillance Epidemiologist, SFDPH

MEMORANDUM. City Constituents. Leilani Schwarcz, Vision Zero Surveillance Epidemiologist, SFDPH MEMORANDUM TO: FROM: CC: City Constituents Leilani Schwarcz, Vision Zero Surveillance Epidemiologist, SFDPH Megan Wier, Co-Chair, San Francisco Vision Zero Task Force, SFDPH Commander Ann Mannix, Traffic

More information

SIDRA INTERSECTION 6.1 UPDATE HISTORY

SIDRA INTERSECTION 6.1 UPDATE HISTORY Akcelik & Associates Pty Ltd PO Box 1075G, Greythorn, Vic 3104 AUSTRALIA ABN 79 088 889 687 For all technical support, sales support and general enquiries: support.sidrasolutions.com SIDRA INTERSECTION

More information

ENS-200 Energy saving trainer

ENS-200 Energy saving trainer ENS-200 Energy saving trainer Find out about the essential aspects of energy efficiency in compressed air installations Save money Put it into practice Take care of the environment Get training www.smctraining.com

More information

David Costanzo, Zhong Shao, Ronghui Gu Yale University. PLDI 2016 June 17, 2016

David Costanzo, Zhong Shao, Ronghui Gu Yale University. PLDI 2016 June 17, 2016 David Costanzo, Zhong Shao, Ronghui Gu Yale University PLDI 2016 June 17, 2016 Goal: formally prove an end-to-end information-flow policy that applies to the low-level code of these systems Proc 1 Proc

More information

WHY CHINA IS A GOOD MARKET FOR FD SOI

WHY CHINA IS A GOOD MARKET FOR FD SOI WHY CHINA IS A GOOD MARKET FOR FD SOI SEPTEMBER 15, 2015 INTERNATIONAL BUSINESS STRATEGIES, INC. 632 Industrial Way Los Gatos CA 95030 USA 408 395 9585 408 395 5389 (fax) www.ibs-inc.net info@ibs-inc.net

More information

Distributed Control Systems

Distributed Control Systems Unit 41: Unit code Distributed Control Systems M/615/1509 Unit level 5 Credit value 15 Introduction With increased complexity and greater emphasis on cost control and environmental issues, the efficient

More information

PC-based systems ELOP II-NT

PC-based systems ELOP II-NT ELOP II-NT... the safe decision. 1 (mm 9910) Continuity in the work process... Double work processes resulting from a lack of interfaces between project stages is an unnecessary waste of time and money

More information

Burner Management System DEMO Operating instructions

Burner Management System DEMO Operating instructions Burner Management System DEMO Operating instructions Burner Management System DEMO Operating Instructions Startup Summary - Normal startup is accomplished in four basic steps: 1. Leak Test a. Safety Valve

More information

A Novel Decode-Aware Compression Technique for Improved Compression and Decompression

A Novel Decode-Aware Compression Technique for Improved Compression and Decompression A Novel Decode-Aware Compression Technique for Improved Compression and Decompression J. Suresh Babu, K. Tirumala Rao & P. Srinivas Department Of Electronics & Comm. Engineering, Nimra College of Engineering

More information

Opportunities for ARM in Embedded Processing 2015 Edition

Opportunities for ARM in Embedded Processing 2015 Edition Semiconductor Market Forecasts Opportunities for ARM in Embedded Processing 2015 Edition Market Analysis by Product Type & Application to 2020 Published June 2015 133 Pages $8,125 for Intranet PDF License

More information

Missing no Interaction Using STPA for Identifying Hazardous Interactions of Automated Driving Systems

Missing no Interaction Using STPA for Identifying Hazardous Interactions of Automated Driving Systems Bitte decken Sie die schraffierte Fläche mit einem Bild ab. Please cover the shaded area with a picture. (24,4 x 11,0 cm) Missing no Interaction Using STPA for Identifying Hazardous Interactions of Automated

More information

RM-80 respiration monitor

RM-80 respiration monitor RM-80 respiration monitor User Manual September 18, 2015 0025-003M 950 North Hague Avenue Columbus, Ohio 43204-2121 USA Sales: sales@colinst.com Service: service@colinst.com Phone: (614) 276-0861 Fax:

More information

Critical Systems Validation

Critical Systems Validation Critical Systems Validation Objectives To explain how system reliability can be measured and how reliability growth models can be used for reliability prediction To describe safety arguments and how these

More information

Adaptive test on wafer level

Adaptive test on wafer level Rob Marcelis Salland Engineering Europe BV Adaptive test on wafer level focus on: test time reduction (TTR) June 6 to 9, 2010 San Diego, CA USA Introduction What is Adaptive test? Modify test content/flow

More information

C o d i n g f o r i n t e r a C t i v e d i g i t a l M e d i a

C o d i n g f o r i n t e r a C t i v e d i g i t a l M e d i a 9 0 9 7 C o d i n g f o r i n t e r a C t i v e d i g i t a l M e d i a 30S/30E/30M An Interactive Digital Media Course 9 0 9 7 : C o d i n g f o r i n t e r a C t i v e d i g i t a l M e d i a 3 0 S

More information

EEC 686/785 Modeling & Performance Evaluation of Computer Systems. Lecture 6. Wenbing Zhao. Department of Electrical and Computer Engineering

EEC 686/785 Modeling & Performance Evaluation of Computer Systems. Lecture 6. Wenbing Zhao. Department of Electrical and Computer Engineering EEC 686/785 Modeling & Performance Evaluation of Computer Systems Lecture 6 Department of Electrical and Computer Engineering Cleveland State University wenbing@ieee.org Outline 2 Review of lecture 5 The

More information

This manual provides necessary requirements for meeting the IEC or IEC functional safety standards.

This manual provides necessary requirements for meeting the IEC or IEC functional safety standards. Instruction Manual Supplement Safety manual for Fisher Vee-Ball Series Purpose This safety manual provides information necessary to design, install, verify and maintain a Safety Instrumented Function (SIF)

More information

Designing Mechanisms for Reliable Internet-based Computing

Designing Mechanisms for Reliable Internet-based Computing Designing Mechanisms for Reliable Internet-based Computing Chryssis Georgiou University of Cyprus, Cyprus Antonio Fernandez Miguel Mosteiro Universidad Rey Juan Carlos, Spain Trustworthy Network Computing

More information

Drift-Chamber Gas System Controls Development for the CEBAF Large Acceptance Spectrometer

Drift-Chamber Gas System Controls Development for the CEBAF Large Acceptance Spectrometer Drift-Chamber Gas System Controls Development for the CEBAF Large Acceptance Spectrometer M. F. Vineyard, T. J. Carroll, and M. N. Lack Department of Physics University of Richmond, VA 23173 ABSTRACT The

More information

Automotive Market for Semiconductors 2018 Edition

Automotive Market for Semiconductors 2018 Edition Semiconductor Market Forecasts Automotive Market for Semiconductors 2018 Edition Market Analysis and Forecasts to 2024 November 2017 96 Pages $8,250 for Enterprise License (includes PDF and Excel deliverables)

More information

Outline. Terminology. EEC 686/785 Modeling & Performance Evaluation of Computer Systems. Lecture 6. Steps in Capacity Planning and Management

Outline. Terminology. EEC 686/785 Modeling & Performance Evaluation of Computer Systems. Lecture 6. Steps in Capacity Planning and Management EEC 686/785 Modeling & Performance Evaluation of Computer Systems Lecture 6 Department of Electrical and Computer Engineering Cleveland State University wenbing@ieee.org Outline Review of lecture 5 The

More information

RESILIENT SEATED BUTTERFLY VALVES FUNCTIONAL SAFETY MANUAL

RESILIENT SEATED BUTTERFLY VALVES FUNCTIONAL SAFETY MANUAL Per IEC 61508 and IEC 61511 Standards BRAY.COM Table of Contents 1.0 Introduction.................................................... 1 1.1 Terms and Abbreviations...........................................

More information

A study on the relation between safety analysis process and system engineering process of train control system

A study on the relation between safety analysis process and system engineering process of train control system A study on the relation between safety analysis process and system engineering process of train control system Abstract - In this paper, the relationship between system engineering lifecycle and safety

More information

Scrum Guide Revision

Scrum Guide Revision November 2017 Scrum Guide Revision Jeff Sutherland Ken Schwaber Introduction Agenda How we have gotten here What has changed in the Scrum Guide Addressing common misconceptions A Little About Scrum Scrum

More information

Every things under control High-Integrity Pressure Protection System (HIPPS)

Every things under control High-Integrity Pressure Protection System (HIPPS) Every things under control www.adico.co info@adico.co Table Of Contents 1. Introduction... 2 2. Standards... 3 3. HIPPS vs Emergency Shut Down... 4 4. Safety Requirement Specification... 4 5. Device Integrity

More information

ScrumBut. Michael Hall Three Beacons

ScrumBut. Michael Hall Three Beacons ScrumBut Michael Hall Three Beacons mike@threebeacons.com 214.783.3936 Fundamental Tenets Of Scrum 2 Scrum Tenet 1: Empiricism Empiricism Make decisions based on observation and experimentation not theory,

More information

HAP e-help. Obtaining Consistent Results Using HAP and the ASHRAE 62MZ Ventilation Rate Procedure Spreadsheet. Introduction

HAP e-help. Obtaining Consistent Results Using HAP and the ASHRAE 62MZ Ventilation Rate Procedure Spreadsheet. Introduction Introduction A key task in commercial building HVAC design is determining outdoor ventilation airflow rates. In most jurisdictions in the United States, ventilation airflow rates must comply with local

More information

EasySas. The most advanced airlock electronics on the market. Recyclable product. Eco-design. Energy savings

EasySas. The most advanced airlock electronics on the market. Recyclable product. Eco-design. Energy savings EasySas The most advanced airlock electronics on the market Eco-design Energy savings Recyclable product ELECTRONIC AIRLOCK MANAGEMENT SkySas range UniSas range CompacSas range An electronic management

More information

Failure Modes, Effects and Diagnostic Analysis

Failure Modes, Effects and Diagnostic Analysis Failure Modes, Effects and Diagnostic Analysis Project: Solenoid Drivers KFD2-SL2-(Ex)1.LK.vvcc KFD2-SL2-(Ex)*(.B).vvcc Customer: Pepperl+Fuchs GmbH Mannheim Germany Contract No.: P+F 06/09-23 Report No.:

More information

Surfing Interconnect

Surfing Interconnect Surfing Interconnect Mark R. Greenstreet and Jihong Ren University of British Columbia, Rambus Surfing Interconnect p.1/17 Motivation Wires are the problem: Wires scale poorly with feature size: Gate delays

More information

The HumiSys. RH Generator. Operation. Applications. Designed, built, and supported by InstruQuest Inc.

The HumiSys. RH Generator. Operation. Applications. Designed, built, and supported by InstruQuest Inc. The HumiSys RH Generator Designed, built, and supported by InstruQuest Inc. Versatile Relative Humidity Generation and Multi-Sensor System The new HumiSys with single or dual RH probes capabilities is

More information

Computing s Energy Problem:

Computing s Energy Problem: Computing s Energy Problem: (and what we can do about it) Mark Horowitz Stanford University horowitz@ee.stanford.edu 1 of 46 Everything Has A Computer Inside 2of 46 The Reason is Simple: Moore s Law Made

More information

Flight Software Overview

Flight Software Overview Solar Probe Plus A NASA Mission to Touch the Sun Flight Software Overview Christopher Krupiarz Christopher.Krupiarz@jhuapl.edu Overarching Science Objective To determine the structure and dynamics of the

More information

Real Time Bicycle Simulation Study of Bicyclists Behaviors and their Implication on Safety

Real Time Bicycle Simulation Study of Bicyclists Behaviors and their Implication on Safety Real Time Bicycle Simulation Study of Bicyclists Behaviors and their Implication on Safety JUNE 30, 2017 Valerian Kwigizile, Jun-Seok Oh, Pavel Ikonomov, Raed Hasan, Cole G. Villalobos, Aous Hammad Kurdi,

More information

Figure SM1: Front panel of the multipatcher software graphic user interface (GUI) at the beginning of multipatcher operation.

Figure SM1: Front panel of the multipatcher software graphic user interface (GUI) at the beginning of multipatcher operation. APPENDIX 2. Multipatcher Software Setup and Operation. The multipatcher program is organized into four panels. There are controls that allow the user to specify various parameters into the system. The

More information

Outside Air Nonresidential HVAC Stakeholder Meeting #2 California Statewide Utility Codes and Standards Program

Outside Air Nonresidential HVAC Stakeholder Meeting #2 California Statewide Utility Codes and Standards Program 1 Outside Air Nonresidential HVAC Stakeholder Meeting #2 California Statewide Utility Codes and Standards Program Jim Meacham, CTG Energetics Agenda 2 In Situ Testing Results Reduced Ventilation after

More information