Part of the slides. Part of figures from. adapted reused from slides by Alberto Sangiovanni- Vincentelli

Size: px
Start display at page:

Download "Part of the slides. Part of figures from. adapted reused from slides by Alberto Sangiovanni- Vincentelli"

Transcription

1 TKT-2431 Soc Design Lec 2 Reuse of IP components Erno Salminen, Tero Arpinen Department of Computer Systems Tampere University of Technology Fall 2010 Erno Salminen - Sep. 2010

2 Copyright notice Part of the slides adapted reused from slides by Alberto Sangiovanni- Vincentelli course EE249 at University of California, Berkeley Part of figures from P.J. Bricaud, IP Reuse Creation for System-on-a-Chip, CICC, 1999, pp D.D. Gajski et al., Essential issues for IP reuse, ASP-DAC, Jan. 2000, pp F. Wagner et al., Strategies for the integration of hardware and software IP components in embedded systems-onchip, Integration, the VLSI Journal, September 2004, Vol. 37, Iss. 4, pp #2/50 Erno Salminen - Sep. 2010

3 Outline Motivation, Intellectual property (IP) HW IP examples Design for reuse, IP design Benefits of reuse IP business and IP-based design #3/50 Erno Salminen - Sep. 2010

4 At first Make sure that simple things work before even trying more complex ones #4/50 Erno Salminen - Sep. 2010

5 Design time breakdown Task Time ratio [%] Verification 40 RTL + synthesis 20 IC layout 10 Test 10 System integration 10 Start from smallest component (Divide&conquer) Other 10 [Bricaud] #5/50 Erno Salminen - Sep Improving verification and RTL design has biggest effect Even larger verification times reported in many sources...

6 Reminder : verification gap [J. Virtanen, SoC Verification, ELKOM 03, Helsinki, Finland, March 2003] #6/50 Erno Salminen - Sep. 2010

7 Increasing system size Hemani s law : size of reused component grows 10x per every decade #7/50 Erno Salminen - Sep [H. Tenhunen, Issues for Communication and Interconnection Design in Gigascale Systems-on-Chip, Tampere Soc, Nov. 2000]

8 Reasons to reuse [Peryer] To accelerate the next design Eliminating a block design task Reduce the risk of failure Using a block which has been proven To bring more of the project variables under control A reusable block is ready to go and doesn t need a time to completion estimate [Salminen] Just because you have to! All SoCs are built around reused components #8/50 Erno Salminen - Sep. 2010

9 Intellectual Property (IP) components Reusable, pre-designed and verified components May be hardware or software (especially HW IP) also called macros and cores E.g. microprocessor, memory, HW accelerator, SW function Simplify system design Don t have to reinvent the wheel Moreover, don t have to re-verify the wheel May be tuned to a particular application #9/50 Erno Salminen - Sep. 2010

10 IP components (2) Principles for reuse [Bricaud] Must be easy to integrate Must be robust Integrator does not have to verify However, integrator must have verification suite [Salminen] To be reusable, must be usable Good documentation Good code Thorough commenting Well-designed verification environment and suites Supporting scripts #10/50 Erno Salminen - Sep. 2010

11 HW IP component examples Erno Salminen - Sep. 2010

12 HW IP examples Following examples from Salminen et al., Comparison of Hardware IP Components for System-on-Chip, Tampere Soc Symposium, dir=dacifs&freal=salminen_-_comparison_of_hardware_ip.pdf&id=67604 Results for 0.18 um CMOS 1. Programmable processors (+few mem) 2. HW accelerators Results from product briefs and articles Not from actual IP documentation #12/50 Erno Salminen - Sep. 2010

13 Processors core examples #13/50 Erno Salminen - Sep. 2010

14 Accelerator examples #14/50 Erno Salminen - Sep. 2010

15 Proportion of known values % of all 200 IPs Poor documentation #15/50 Erno Salminen - Sep. 2010

16 Conclusion of examples Area Frequency Proportion of IP % % % % % % proc. kgates accelerator kgates Proportion of IP % % % % % proc freq accelerator freq 5.00 % 5.00 % 0.00 % % Area [kilogates] Frequency [MHz] Energy per cycle Prorportion of IPs % % % % % % % % 5.00 % 0.00 % proc energy accelerator energy Energy [mw/mhz] HW accelerator have higher performance frequency can be lower #16/50 Erno Salminen - Sep. 2010

17 Marketing example : Car IP Breaking news! Our company offers new car IP! top speed 250 km/h fuel efficiency 4.7 liters /100 km Oh, forgot to mention that there are actually 2 different IPs Mercedes-Benz CL 55 AMG 250 km/h, 11.4 l/100 km This is unlikely for 250 km/h speed Smart fourtwo coupé 37 kw pure 135 km/h, 4.7 l/100 km Photos courtesy of Mercedes Benz and smart Gmbh #17/50 Erno Salminen - Sep. 2010

18 HW IP types 1. Soft core Delivered as synthesizable RTL code Integrator can modify IP by changing generics code 2. Hard core Fully designed, placed, and routed Cannot be modified Delivered as GDSII file (or similar) Technology-specific 3. Firm core Somewhere between soft and hard E.g. RTL with some physical information #18/50 Erno Salminen - Sep. 2010

19 Erno Salminen - Sep Designing IP components

20 Design for reuse Solve a general problem e.g. memory, processor Usable in multiple technologies e.g. TMSC 0.18 um, TI 0.13 um, IBM 90nm, Altera FPGA Includes standard interfaces e.g. OCP, AMBA AXI, PCI-X Can be simulated in multiple environments High-level models available Models must have same functionality All parts verified independently Full, stand-alone testbenches for all entities to achieve high test coverage See also: DCS VHDL coding rules #20/50 Erno Salminen - Sep. 2010

21 IP types: Increasing generality (softer) Bigger potential markets More applications Can be implemented with many technologies Harder to verify Harder to optimize Harder to characterize (predict performance and cost) #21/50 Erno Salminen - Sep. 2010

22 IP design: 1 specify system Timing Preferably synhcronous and register-based Num of clocks doumented (small num preferred) Reset documented (active low preferred) Synthesis scripts (with comments) Debug and test features and strategies Verification strategy Physical issues Partition system into macros (e.g. CPU, DMA) Always in partitioning, aim for minimal interaction between components Components cannot assume anything of each other s internal timing Pay attention to interfaces #22/50 Erno Salminen - Sep. 2010

23 IP design: 2 macro and sub-block block design Create specification and verification plan The more we verify at HDL level, the easier the final verification is Partition macros into sub-blocks Design teams work simultaneously on sub-blocks Only small (1-2 person) design teams needed Develop testbench and write RTL Simulate and synthesize Run code checkers ( lint ) Iterate Sub-block is complete when simulation, synthesis and code checkers results are satisfactory TKT-1212 Digitaalijärjestelmien toteutus / TKT 1426 Digital design for FPGA #23/50 Erno Salminen - Sep. 2010

24 Macro and sub-block block design (2) Term decomposition also used [Bricaud] #24/50 Erno Salminen - Sep. 2010

25 IP design 3: Sub-block block integration Very important step First grading of how reusable sub-blocks are Ease of integration Speed of verification Otherwise, similar to sub-block design [Bricaud] #25/50 Erno Salminen - Sep. 2010

26 IP design: 4 - productization Develop prototype If one can afford... Ensure that macro is synthesizable with multiple technologies Simulate at gate level Verify formally that netlist from synthesis is equivalent to RTL Document everything #26/50 Erno Salminen - Sep. 2010

27 IP Integration First, select IPs After that, like traditional ASIC flow Typical sign-off nowadays after RTL sythesis Aka. tape-out Memories are technology-dependent Generators used for implementation Simulation models needed sign-off system integrator silicon vendor #27/50 Erno Salminen - Sep. 2010

28 IP integration and interfaces #28/50 Erno Salminen - Sep IP must be adapted unless it natively supports the interface provided by the network Soft, white-box, IP allow direct modification of source codes Without source codes, additional wrapper (or adapter) is needed Standard interface Heterogenous interface Original IP IP block IP block Integration operation Modify Create wrapper Attach IP block Wrapper Attach Attach IP block Outcome IP block IP block Wrapper Adapted by A. Rasmus from [F. R. Wagner et al., Strategies for the integration of hardware and software IP components in embedded systems-on-chip, Integration, the VLSI Journal, September 2004, Vol. 37, Iss. 4, pp ] Comm unication network

29 IP deliverables: User guide HUOM! OBS! Key deliverable IP without user guide is useless How to integrate and test lines of HDL without a manual? Muy importante! Used for macro selection, integration, and verification Documents functionality interface non-functional properties (area, latency, power...) test strategy, clockcing strategy architecture block diagram exceptions to coding guidelines names and versions of tested SW tools (e.g. simulators, compilers)! directory structure of deliverables #29/50 Erno Salminen - Sep. 2010

30 IP deliverables (2) Synthesizable HDL codes High-level functional model HDL, Matlab, C/C++, UML SW driver for accessing IP from CPU Bus functional model Application notes, instantiation examples Synthesis, scan insertion, and ATPG scripts for all sub-blocks Installation scripts Testbenches for top-level and all sub-blocks Self-checking testbenches with high coverage HUOM! OBS! Muy importante! #30/50 Erno Salminen - Sep. 2010

31 Reporting digital systems #31/50 Erno Salminen - Sep The result (area/power/performance/frequency/combination) is a sum of several factors Comparison not possible unless they are specified Process line width, supply voltage, worst/nominal/best-case, low power/high speed process, output load, FPGA type number and LUT count, Detail level RTL/layout results, VHDL/Verilog/SystemC, memories inluded?, I/O pads and power supplies included?, memory usage for SW, power simulation: supply voltage, RTL/gate-level/transistor sim., swithing activity, leakage included?, crosstalk included?, glitches included?, memories included? Design method synthesis/full-custom design, tool version, System parameters data width, buffer size, pin-limited/logic-limited system?, memory interface Others... [E. Salminen, On Preparing Clear Publications, lecture slides on TKT On Preparing Clear Publications, lecture slides on TKT-2410 Scientific publishing, Tampere, Finland, Nov ]

32 SoC verification Check that all embdded IP components are thoroughly verified Bricaud: First big return on investment to the reusable effort E.g. embedded CPU may have >500 test suites Running all tests takes weeks Done by IP designer before integration starts When IPs have verified separately, concentrate on communication between components More details in lecture 4 #32/50 Erno Salminen - Sep. 2010

33 Scripts #33/50 Erno Salminen - Sep Also scripts must be commented Purpose of ALL parameters e.g. part of makefile COMPILE = armcc I ${ARMLIB}/armlib_cn.32l -c -fpu none -g+ -c -dwarf2 -Otime -O1 -Ono_inline -o $@ ASM = armasm -xref -g -o $@ LINK = armlink -map -symbols -elf -ro-base 0x0 -rw-base 0x first arm_init.o\(alustus\) -entry 0x0 -remove - info unused,sizes $(OBJS) -output $@ Often more important than code commenting Code languages are more commonly known Whereas, many scripts are tool-specific What if integrator uses different tool Parameter names are different Without comments it is painful find out original meaning of parameters without the tool, most probably there s no manual either

34 Erno Salminen - Sep Benefit of reuse

35 Decreasing design time Decreasing effort (time) in early design phases often lenghtens total design time Especially debug phase a) first method spec rtl tb debug b) save time from rtl spec rtl tb debug c) save time from tb spec rtl tb debug d) save time from spec spec rtl tb debug #35/50 Erno Salminen - Sep time

36 Decreasing design time (2) Increasing effort (time) in early design phases shortens total design time Especially debug a) first method spec rtl tb debug f) add time to rtl spec rtl tb debug g) add time to tb spec rtl tb debug h) add time to spec spec rtl tb debug i) add time to spec+tb spec #36/50 Erno Salminen - Sep rtl tb debug [J.P. Bowen, M.G. Hinchey, Ten Commandments of Formal Methods...Ten Years Later, Computer, Vol. 39, Iss. 1, Jan. 2006, pp ] time

37 Decreasing design time (3) Design for reuse adds design time but reuse saves many times more a) first method spec rtl tb debug i) add time to spec+tb spec rtl tb debug j) i+ design for reuse spec rtl tb debug Reusing j in next product spec rtl tb debug #37/50 Erno Salminen - Sep time

38 IP creation methods?????? Repeat the block design every time, do not reuse anything Reverse engineer an existing IP without specs into reusable form Design a new, reusable IP block from scratch Buy a third-party IP. Costs vary according to license policy Rescue an existing block into more reusable form. Specs available. Adapted from [M. Peryer, When does it make sense to design for reuse?, DesignCon, 1999.] #38/50 Erno Salminen - Sep. 2010

39 IP business and IP-based design Erno Salminen - Sep. 2010

40 Phases of IP creation and reuse Several phases precede the actual integration (phase 6): 1. Creation according to guidelines that simplify reuse 2. Qualification ensures that IP has qualities expected by consumers (integrators) 3-4. Classification and search consumers must find appropriate IP blocks from on-line catalogs where components are classified according to adequate criteria 5. Transfer delivers all needed information for evaluation and integration, including design data, documentation, test specification 5B. Evaluation a more accurate evaluation is usually needed before integrating it into a design, e.g. by instantiating the component into a testbench and simulating it #40/50 Erno Salminen - Sep [Wagner, Strategies for the integration..., VLSI, 2004]

41 IP business (1) Three parties in IP business: 1. IP provider Designs new IPs or converts legacy code into reusable form Has to assure customers on quality of IPs Offers support services 2. IP integrator is the customer Uses IPs to be a producer on other markets #41/50 Erno Salminen - Sep. 2010

42 IP business (2) 3. IP tool developer develops design methodologies and EDA software To migrate IPs to new tehcnologies To support documentatation and data retrieval To select appropriate IPs through analysis and comparison (exploration) Furthemore, IP business needs methods for solving Pricing and licenses Service Distribution Security #42/50 Erno Salminen - Sep. 2010

43 Essential issues #43/50 Erno Salminen - Sep. 2010

44 Salminen s forecast Any legal issue will be far more challenging in IP reuse(*) than any technical issue (*) = any work? P.S. Reuse within the company is less likely to confront legal issues #44/50 Erno Salminen - Sep. 2010

45 System Level Design Science Design Methodology: Top Down Aspect: Orthogonalization of Concerns: Separate Implementation from Conceptual Aspects E.g. separate computation from communication E.g. separate behavior from (micro)architecture Formalization: precise unambiguous semantics Abstraction: capture the desired system details (do not overspecify) Decomposition: partitioning the system behavior into simpler behaviors Successive Refinements: refine the abstraction level down to the implementation by filling in details and passing constraints Bottom Up Aspect: IP Re-use (even at the algorithmic and functional level) Components of architecture are from pre-existing library Lecture 3 #45/50 Erno Salminen - Sep. 2010

46 IP integration How to integrate system blocks? Starting from the system level With a consistent test-bench Getting from the abstract, un-timed system model to the clocked HW or SW implementation model Communication between blocks Addressing Platform Based design Example 3G Cell phone Which are the optimal algorithms? Do they work together functionally? Is the architecture sufficient? Does the implementation integration work? Embedded System Specification and Requirements Platform Function Hardware Assembly System Integration Platform Architecture Performance Analysis and Platform Configuration Communication Refinement Communication Integration Software Assembly Implementation Level Verification Synthesis / Place & Route etc. IP Block System Integration + testing #46/50 Erno Salminen - Sep. 2010

47 1. Separate Behavior from Micro- architecture System Behavior Functional Specification of System. No notion of hardware or software! Implementation (micro)architecture Hardware and software Optimized computer Front End 1 Transport Decode 2 Rate Buffer 12 Rate Buffer 5 Synch Control 4 Mem 13 User/Sys Control 3 Video Decode 6 Sensor Frame Buffer 7 Video Output 8 External I/O MPEG Peripheral Processor Bus DSP Processor DSP RAM Control Processor Rate Buffer 9 Audio Decode/ Output 10 Audio Decode System RAM #47/50 Erno Salminen - Sep Mem 11 Architecture defines only the interface things inside this box are microarchitecture

48 2. Select components Which Bus? AMBA? HIBI? Dedicated Bus for DSP? Which DSP Processor? TI? Motorola? Can DSP be done on Microcontroller? Can I Buy an MPEG2 Processor? External I/O DSP Processor Which One? MPEG Peripheral Processor Bus DSP RAM Control Processor Which Microcontroller? ARM? MIPS? Audio Decode Do I need a dedicated Audio Decoder? Can decode be done on Microcontroller? System RAM How fast will my User Interface SW run? How much can I fit on my microcontroller? #48/50 Erno Salminen - Sep. 2010

49 3. Map behavior to architecture and verify Transport Decode Implemented as Software Task Running on Microcontroller HUOM! OBS! Muy importante! Rate Buffer 12 Mem 13 User/Sys Control 3 Sensor External I/O DSP Processor Front End 1 Transport Decode 2 Rate Buffer 5 Rate Buffer 9 Synch Control 4 Video Decode 6 Audio Decode/ Output 10 Frame Buffer 7 Communication Video Output 8 Over Bus Audio Decode Behavior Implemented on MPEG Peripheral Audio Decode Processor Bus DSP RAM Control Processor System RAM Mem 11 Dedicated Hardware Behavior #49/50 Erno Salminen - Sep Mapping Implementation architecture

50 Conclusion Systems cannot be designed from scratch: Reuse everything you can Bricaud: Impossible not to stress again the importance of documentation... Verify each sub-block separately Develop guidelines for coding (and follow them) Further reading: M. Keating, P. Bricaud, Reuse methodology manual: for system-on-a-chip designs, Kluwer Academic Publishers Norwell, MA, USA, 1998 / 2002, ISBN: Mark Peryer, When does it make sense to design for reuse?, DesignCon, Request free copy from: url=/products/ip/techpubs/index.cfm #50/50 Erno Salminen - Sep. 2010

51 Erno Salminen - Sep Extra

52 Constants vs. generics in VHDL #52/50 Erno Salminen - Sep Basically, generic parameter is a fundamental idea in VHDL and design reuse, use it. Avoid constants (in packages or architecture) if data_width is defined is package, it is impossible to have instances with different data_width e.g. all adders in design are 10 bits wide With generics, that is possible The component size should be changed with generics NOT by modifying the code. When the VHDL code is reused, there should be no need to read the code except the entity definition If there are illegal combinations of generic values, use assert to make sure that given generics are valid However, having many generic parameters, complicates verification Use generics instead of constants

53 IP-XACT standard Standard XML for HW integration and design automation Describes metadata of components and designs Language and tool independent Allows multi-vendor design environments More info on course TKT-3541/3547 SoC-alustat/SoC platforms #53/50 Erno Salminen - Sep. 2010

54 IP-XACT component metadata Bus interfaces (I/O ports) Signals (also those which do not belong to any bus interface) Model parameters (=generics) Address spaces Register definitions Component generators File sets Vendor extensions: e.g. Description, tool-specific settings #54/50 Erno Salminen - Sep. 2010

55 IP-XACT design Structural description of HW platform Instantiates components Connects them together Metadata makes design capture easy Components are connected on interface, not signal level Interface metadata allow plug-an-play integration Stored in XML format Automatically converted to VHDL IP-XACT design Interconnection Component instance 1 Component instance 2 Component instance 3 Hierarchical connection Bus interfaces Ad-hoc connection #55/50 Erno Salminen - Sep. 2010

Department of Computer Systems. Reusing verfieid components is much better than re-inventing the wheel!

Department of Computer Systems. Reusing verfieid components is much better than re-inventing the wheel! TKT-2431 Soc Design Lec 2 Reuse of IP components Erno Salminen Tampere University of Technology Fall 2011 Reusing verfieid components is much better than re-inventing the wheel! Erno Salminen - Sep. 2011

More information

VLSI Design 12. Design Styles

VLSI Design 12. Design Styles 1 9 8 5 1 9 8 7 1 9 9 1 1 9 9 3 1 9 9 9 2 0 0 1 2 0 0 5 2 0 0 7 2 0 0 9 Pr odu ctiv it y (T r an s./ St a f -M o nt h ) VLSI Design Last module: Floorplanning Sequential circuit design Clock skew This

More information

THE CANDU 9 DISTRffiUTED CONTROL SYSTEM DESIGN PROCESS

THE CANDU 9 DISTRffiUTED CONTROL SYSTEM DESIGN PROCESS THE CANDU 9 DISTRffiUTED CONTROL SYSTEM DESIGN PROCESS J.E. HARBER, M.K. KATTAN Atomic Energy of Canada Limited 2251 Speakman Drive, Mississauga, Ont., L5K 1B2 CA9900006 and M.J. MACBETH Institute for

More information

Design of AMBA APB Protocol

Design of AMBA APB Protocol Design of AMBA APB Protocol G. Venkat Rao 1, Pasam Abhigna 2, J. Naveen 3, S. Vineeth Reddy 4 1 Assistant Professor Department of ECE Geethanjali College of engineering and technology 2, 3, 4 Bachelor

More information

Layout Design II. Lecture Fall 2003

Layout Design II. Lecture Fall 2003 Layout Design II Lecture 6 18-322 Fall 2003 Roadmap Today: Layout Verification & design in the large Next week: Transistor sizing Wires Homework 1: Due Today Homework 2: Out Today, Due Sept 18 Lab 2: This

More information

Assertion-Based Verification

Assertion-Based Verification 1 Assertion-Based Verification Harry D. Foster Chief Scientist Verification IC Verification Solutions Division February 2018 Outline How Verification is Done Today What Makes Verification Difficult Observability

More information

Computing s Energy Problem:

Computing s Energy Problem: Computing s Energy Problem: (and what we can do about it) Mark Horowitz Stanford University horowitz@ee.stanford.edu 1 of 46 Everything Has A Computer Inside 2of 46 The Reason is Simple: Moore s Law Made

More information

GOLOMB Compression Technique For FPGA Configuration

GOLOMB Compression Technique For FPGA Configuration GOLOMB Compression Technique For FPGA Configuration P.Hema Assistant Professor,EEE Jay Shriram Group Of Institutions ABSTRACT Bit stream compression is important in reconfigurable system design since it

More information

IBIS Modeling for IO-SSO Analysis. Thunder Lay and Jack W.C. Lin IBIS Asia Summit Taipei, Taiwan Nov. 19, 2013

IBIS Modeling for IO-SSO Analysis. Thunder Lay and Jack W.C. Lin IBIS Asia Summit Taipei, Taiwan Nov. 19, 2013 IBIS Modeling for IO-SSO Analysis Thunder Lay and Jack W.C. Lin IBIS Asia Summit Taipei, Taiwan Nov. 19, 2013 Agenda What is IO-SSO? Missing Components in Traditional IO-SSO Analysis Accurate On-die and

More information

Reduction of Bitstream Transfer Time in FPGA

Reduction of Bitstream Transfer Time in FPGA IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 9, Issue 2, Ver. III (Mar - Apr. 2014), PP 82-86 Reduction of Bitstream Transfer Time in

More information

New IBIS Techniques for Modeling Complex IO Cadence Webinar March 23, 2005 Donald Telian

New IBIS Techniques for Modeling Complex IO Cadence Webinar March 23, 2005 Donald Telian New IBIS Techniques for Modeling Complex IO Cadence Webinar March 23, 2005 Donald Telian 1 CADENCE DESIGN SYSTEMS, INC. About the Presenter Donald Telian 20+ years in high-speed PCB and SI Engineer at

More information

Distributed Control Systems

Distributed Control Systems Unit 41: Unit code Distributed Control Systems M/615/1509 Unit level 5 Credit value 15 Introduction With increased complexity and greater emphasis on cost control and environmental issues, the efficient

More information

A Novel Decode-Aware Compression Technique for Improved Compression and Decompression

A Novel Decode-Aware Compression Technique for Improved Compression and Decompression A Novel Decode-Aware Compression Technique for Improved Compression and Decompression J. Suresh Babu, K. Tirumala Rao & P. Srinivas Department Of Electronics & Comm. Engineering, Nimra College of Engineering

More information

Example of rather succesful integration

Example of rather succesful integration TKT-2431 Soc Design Lec 6 Integration example, Performance evaluation Erno Salminen Department of Computer Systems Tampere University of Technology Fall 2010 Example of rather succesful integration Erno

More information

AC : MEASUREMENT OF HYDROGEN IN HELIUM FLOW

AC : MEASUREMENT OF HYDROGEN IN HELIUM FLOW AC 2010-2145: MEASUREMENT OF HYDROGEN IN HELIUM FLOW Randy Buchanan, University of Southern Mississippi Christopher Winstead, University of Southern Mississippi Anton Netchaev, University of Southern Mississippi

More information

Fast Software-managed Code Decompression

Fast Software-managed Code Decompression Fast Software-managed Code Decompression Charles Lefurgy and Trevor Mudge Advanced Computer Architecture Laboratory Electrical Engineering and Computer Science Dept. The University of Michigan, Ann Arbor

More information

Virtual Breadboarding. John Vangelov Ford Motor Company

Virtual Breadboarding. John Vangelov Ford Motor Company Virtual Breadboarding John Vangelov Ford Motor Company What is Virtual Breadboarding? Uses Vector s CANoe product, to simulate MATLAB Simulink models in a simulated or real vehicle environment. Allows

More information

Lecture 1 Temporal constraints: source and characterization

Lecture 1 Temporal constraints: source and characterization Real-Time Systems Lecture 1 Temporal constraints: source and characterization Basic concepts about real-time Requirements of Real-Time Systems Adapted from the slides developed by Prof. Luís Almeida for

More information

Using MATLAB with CANoe

Using MATLAB with CANoe Version 2.0 2017-03-09 Application Note AN-IND-1-007 Author Restrictions Abstract Vector Informatik GmbH Public Document This application note describes the usage of MATLAB /Simulink combined with CANoe.

More information

Profile-driven Selective Code Compression

Profile-driven Selective Code Compression Profile-driven Selective Code Compression Yuan Xie and Wayne Wolf Electrical Engineering Department Princeton University Princeton, NJ 08540, USA yuanxie,wolf@ee.princeton.edu Haris Lekatsas NEC USA 4

More information

Iteration: while, for, do while, Reading Input with Sentinels and User-defined Functions

Iteration: while, for, do while, Reading Input with Sentinels and User-defined Functions Iteration: while, for, do while, Reading Input with Sentinels and User-defined Functions This programming assignment uses many of the ideas presented in sections 6 and 7 of the course notes. You are advised

More information

Cloud, Distributed, Embedded. Erlang in the Heterogeneous Computing World. Omer

Cloud, Distributed, Embedded. Erlang in the Heterogeneous Computing World. Omer Cloud, Distributed, Embedded. Erlang in the Heterogeneous Computing World Omer Kilic @OmerK omer@erlang-solutions.com Outline Challenges in modern computing systems Heterogeneous computing Co-processors

More information

The Safety Case. Structure of Safety Cases Safety Argument Notation

The Safety Case. Structure of Safety Cases Safety Argument Notation The Safety Case Structure of Safety Cases Safety Argument Notation Budapest University of Technology and Economics Department of Measurement and Information Systems The safety case Definition (core): The

More information

Application of Bayesian Networks to Shopping Assistance

Application of Bayesian Networks to Shopping Assistance Application of Bayesian Networks to Shopping Assistance Yang Xiang, Chenwen Ye, and Deborah Ann Stacey University of Guelph, CANADA Abstract. We develop an on-line shopping assistant that can help a e-shopper

More information

New Thinking in Control Reliability

New Thinking in Control Reliability Doug Nix, A.Sc.T. Compliance InSight Consulting Inc. New Thinking in Control Reliability Or Your Next Big Headache www.machinerysafety101.com (519) 729-5704 Control Reliability Burning Questions from the

More information

82C288 BUS CONTROLLER FOR PROCESSORS (82C C C288-8)

82C288 BUS CONTROLLER FOR PROCESSORS (82C C C288-8) BUS CONTROLLER FOR 80286 PROCESSORS (82C288-12 82C288-10 82C288-8) Y Provides Commands and Controls for Local and System Bus Y Wide Flexibility in System Configurations Y High Speed CHMOS III Technology

More information

Post-Placement Functional Decomposition for FPGAs

Post-Placement Functional Decomposition for FPGAs Post-Placement Functional Decomposition for FPGAs Valavan Manohararajah, Deshanand P. Singh, Stephen D. Brown and Zvonko G. Vranesic Altera Toronto Technology Center 151 Bloor Street West, Suite 200 Toronto,

More information

Training Fees 3,400 US$ per participant for Public Training includes Materials/Handouts, tea/coffee breaks, refreshments & Buffet Lunch.

Training Fees 3,400 US$ per participant for Public Training includes Materials/Handouts, tea/coffee breaks, refreshments & Buffet Lunch. Training Title DISTRIBUTED CONTROL SYSTEMS (DCS) 5 days Training Venue and Dates DISTRIBUTED CONTROL SYSTEMS (DCS) Trainings will be conducted in any of the 5 star hotels. 5 22-26 Oct. 2017 $3400 Dubai,

More information

MPCS: Develop and Test As You Fly for MSL

MPCS: Develop and Test As You Fly for MSL MPCS: Develop and Test As You Fly for MSL GSAW 2008 Michael Tankenson & Lloyd DeForrest Wednesday April 2, 2008 Copyright 2008 California Institute of Technology. Government sponsorship acknowledged. Mission

More information

Software Engineering. M Umair.

Software Engineering. M Umair. Software Engineering M Umair www.m-umair.com Advantages of Agile Change is embraced With shorter planning cycles, it s easy to accommodate and accept changes at any time during the project. There is always

More information

HASTAC High stability Altimeter SysTem for Air data Computers

HASTAC High stability Altimeter SysTem for Air data Computers HASTAC High stability Altimeter SysTem for Air data Computers André Larsen R&D Director Memscap Sensor Solution SIXTH FRAMEWORK PROGRAMME PRIORITY 4 Aeronautics and Space EC contract no. AST4-CT-2005-012334

More information

A study on the relation between safety analysis process and system engineering process of train control system

A study on the relation between safety analysis process and system engineering process of train control system A study on the relation between safety analysis process and system engineering process of train control system Abstract - In this paper, the relationship between system engineering lifecycle and safety

More information

Precision level sensing with low-pressure module MS

Precision level sensing with low-pressure module MS The task on hand Level sensing as it is understood in modern process automation is much more than simply "tank half full" or "tank a quarter full". Using suitable sensors, levels, inlets and outlets can

More information

Decompression Method For Massive Compressed Files In Mobile Rich Media Applications

Decompression Method For Massive Compressed Files In Mobile Rich Media Applications 2010 10th IEEE International Conference on Computer and Information Technology (CIT 2010) Decompression Method For Massive Compressed Files In Mobile Rich Media Applications Houchen Li, Zhijie Qiu, Lei

More information

Rescue Rover. Robotics Unit Lesson 1. Overview

Rescue Rover. Robotics Unit Lesson 1. Overview Robotics Unit Lesson 1 Overview In this challenge students will be presented with a real world rescue scenario. The students will need to design and build a prototype of an autonomous vehicle to drive

More information

Upgrading Vestas V47-660kW

Upgrading Vestas V47-660kW Guaranteed performance gains and efficiency improvements Upgrading Vestas V47-660kW Newly developed controller system enables increased Annual Energy Production up to 6.1% and safe turbine lifetime extension

More information

- 2 - Companion Web Site. Back Cover. Synopsis

- 2 - Companion Web Site. Back Cover. Synopsis Companion Web Site A Programmer's Introduction to C# by Eric Gunnerson ISBN: 1893115860 Apress 2000, 358 pages This book takes the C programmer through the all the details from basic to advanced-- of the

More information

Surfing Interconnect

Surfing Interconnect Surfing Interconnect Mark R. Greenstreet and Jihong Ren University of British Columbia, Rambus Surfing Interconnect p.1/17 Motivation Wires are the problem: Wires scale poorly with feature size: Gate delays

More information

A Methodology for Using Traffic Generators with Real-Time Constraints. Avinash Mehta Sr. Research and Development Engineer Synopsys India Pvt. Ltd.

A Methodology for Using Traffic Generators with Real-Time Constraints. Avinash Mehta Sr. Research and Development Engineer Synopsys India Pvt. Ltd. A Methodology for Using Traffic Generators with Real-Time Constraints Avinash Mehta Sr. Research and Development Engineer Synopsys India Pvt. Ltd. 1 Agenda Introduction Real time traffic challenges Proposed

More information

AGW SYSTEMS. Blue Clock W38X

AGW SYSTEMS. Blue Clock W38X AGW SYSTEMS Blue Clock W38X Contents table BLUECLOCKS...3 THE EVOLUTION...4 WHAT S NEW!...5 HARDWARE...6 SOFTWARE...7 MULTI COMPANY...8 INSTANTLY...9 EVEN MORE!...10 WHAT S INSIDE HOW DOES IT WORK...11...12

More information

Application Note AN-107

Application Note AN-107 SPEC Sensor TM Characterization & Calibration Considerations Scope This document is provided to describe the considerations needed to characterize, calibrate, verify and validate the measurement performance

More information

Simulation Model Portability 2 standard support in EuroSim Mk4

Simulation Model Portability 2 standard support in EuroSim Mk4 Simulation Portability 2 standard support in EuroSim Mk4 Jeroen Moelands EuroSim Design Leader (moelands@nlr.nl) Collaborative Engineering Systems department National Aerospace Laboratory NLR EuroSim Workshop

More information

Reducing Code Size with Run-time Decompression

Reducing Code Size with Run-time Decompression Reducing Code Size with Run-time Decompression Charles Lefurgy, Eva Piccininni, and Trevor Mudge Advanced Computer Architecture Laboratory Electrical Engineering and Computer Science Dept. The University

More information

unsignalized signalized isolated coordinated Intersections roundabouts Highway Capacity Manual level of service control delay

unsignalized signalized isolated coordinated Intersections roundabouts Highway Capacity Manual level of service control delay Whether unsignalized or signalized, isolated or coordinated, you can use TransModeler to simulate intersections with greater detail and accuracy than any other microsimulation software. TransModeler allows

More information

Spacecraft Simulation Tool. Debbie Clancy JHU/APL

Spacecraft Simulation Tool. Debbie Clancy JHU/APL FSW Workshop 2011 Using Flight Software in a Spacecraft Simulation Tool Debbie Clancy JHU/APL debbie.clancy@jhuapl.edu 443-778-7721 Agenda Overview of RBSP and FAST Technical Challenges Dropping FSW into

More information

SoundCast Design Intro

SoundCast Design Intro SoundCast Design Intro Basic Design SoundCast and Daysim 3 Land use attributes Households & Individuals SoundCast DaySim Travel demand simulator Trips and Households, Excel Summary Sheets, EMME network

More information

Physical Design of CMOS Integrated Circuits

Physical Design of CMOS Integrated Circuits Physical Design of CMOS Integrated Circuits Dae Hyun Kim EECS Washington State University References John P. Uyemura, Introduction to VLSI Circuits and Systems, 2002. Chapter 5 Goal Understand how to physically

More information

Matrix-based software test data decompression for systems-on-a-chip

Matrix-based software test data decompression for systems-on-a-chip Journal of Systems Architecture 50 (2004) 247 256 www.elsevier.com/locate/sysarc Matrix-based software test data decompression for systems-on-a-chip Kedarnath Balakrishnan, Nur A. Touba * Computer Engineering

More information

CS 341 Computer Architecture and Organization. Lecturer: Bob Wilson Cell Phone: or

CS 341 Computer Architecture and Organization. Lecturer: Bob Wilson Cell Phone: or CS 341 Computer Architecture and Organization Lecturer: Bob Wilson Cell Phone: 508-577-9895 Email: robert.wilson@umb.edu or bobw@cs.umb.edu 1 Welcome to CS341 This course teaches computer architecture

More information

Who takes the driver seat for ISO and DO 254 verification?

Who takes the driver seat for ISO and DO 254 verification? Who takes the driver seat for ISO 26262 and DO 254 verification? Reconciling requirement based verification with coverage-driven verification Avidan Efody, Mentor Graphics Corp. Motivation ISO and DO users

More information

The Safety Case. The safety case

The Safety Case. The safety case The Safety Case Structure of safety cases Safety argument notation Budapest University of Technology and Economics Department of Measurement and Information Systems The safety case Definition (core): The

More information

A 28nm SoC with a 1.2GHz 568nJ/ Prediction Sparse Deep-Neural-Network Engine with >0.1 Timing Error Rate Tolerance for IoT Applications

A 28nm SoC with a 1.2GHz 568nJ/ Prediction Sparse Deep-Neural-Network Engine with >0.1 Timing Error Rate Tolerance for IoT Applications A 28nm SoC with a 1.2GHz 568nJ/ Prediction Sparse Deep-Neural-Network Engine with >0.1 Timing Error Rate Tolerance for IoT Applications Paul Whatmough, S. K. Lee, H. Lee, S. Rama, D. Brooks, G.-Y. Wei

More information

Roller AC Servo System

Roller AC Servo System Safely Instruction Roller AC Servo System HMI-15 User Manual Please read this manual carefully, also with related manual for the machinery before use the controller. For installing and operating the controller

More information

Flight Software Overview

Flight Software Overview Solar Probe Plus A NASA Mission to Touch the Sun Flight Software Overview Christopher Krupiarz Christopher.Krupiarz@jhuapl.edu Overarching Science Objective To determine the structure and dynamics of the

More information

Sontek RiverSurveyor Test Plan Prepared by David S. Mueller, OSW February 20, 2004

Sontek RiverSurveyor Test Plan Prepared by David S. Mueller, OSW February 20, 2004 Sontek RiverSurveyor Test Plan Prepared by David S. Mueller, OSW February 20, 2004 INTRODUCTION Sontek/YSI has introduced new firmware and software for their RiverSurveyor product line. Firmware changes

More information

HumiSys HF High Flow RH Generator

HumiSys HF High Flow RH Generator HumiSys HF High Flow RH Generator Designed, built, and supported by InstruQuest Inc. Versatile Relative Humidity Generation and Multi-Sensor System The HumiSys HF is a high flow version of the previously

More information

NASCAR Media Group CASE STUDY: LOCATION: Charlotte, NC GOAL: SOLUTION:

NASCAR Media Group CASE STUDY: LOCATION: Charlotte, NC GOAL: SOLUTION: CASE STUDY: NASCAR Media Group LOCATION: Charlotte, NC GOAL: SOLUTION: Develop an efficient media archival solution and end-to-end broadcast IT platform Primestream s FORK provides a customizable, software-based

More information

An Architecture for Combined Test Data Compression and Abort-on-Fail Test

An Architecture for Combined Test Data Compression and Abort-on-Fail Test An Architecture for Combined Test Data Compression and Abort-on-Fail Test Erik Larsson and Jon Persson Embedded Systems Laboratory Department of Computer and Information Science Linköpings Universitet,

More information

Pedestrian Dynamics: Models of Pedestrian Behaviour

Pedestrian Dynamics: Models of Pedestrian Behaviour Pedestrian Dynamics: Models of Pedestrian Behaviour John Ward 19 th January 2006 Contents Macro-scale sketch plan model Micro-scale agent based model for pedestrian movement Development of JPed Results

More information

D-Case Modeling Guide for Target System

D-Case Modeling Guide for Target System D-Case Modeling Guide for Target System 1/32 Table of Contents 1 Scope...4 2 Overview of D-Case and SysML Modeling Guide...4 2.1 Background and Purpose...4 2.2 Target System of Modeling Guide...5 2.3 Constitution

More information

ME 8843-Advanced Mechatronics. Project Proposal-Automatic Bike Transmission

ME 8843-Advanced Mechatronics. Project Proposal-Automatic Bike Transmission ME 8843-Advanced Mechatronics Project Proposal-Automatic Bike Transmission 1/21/09 Razid Ahmad Brandon Borm Todd Sifleet Project Proposal: Our goal for the semester long project is to create and automatic

More information

Electronic Automatic Transmission for Bicycle

Electronic Automatic Transmission for Bicycle Electronic Automatic Transmission for Bicycle Team 4 Tianqi Liu, Ruijie Qi, and Xingkai Zhou ECE 445 Project Proposal Spring 2018 TA: Hershel Rege 1 Introduction 1.1 Objective Nowadays, an increasing number

More information

ProChek, A COMPREHENSIVE FABRICATION PROCESS MISMATCH AND RELIABILITY CHARACTERIZATION TOOL

ProChek, A COMPREHENSIVE FABRICATION PROCESS MISMATCH AND RELIABILITY CHARACTERIZATION TOOL Vendor: Ridgetop Group, Inc. Office Address: 3580 West Ina Road, Tucson, Arizona 85741 Phone: 520-742-3300; Fax: 520-544-3180 Technical Contact: Esko Mikkola, Ph.D., Project Manager Phone: 520-742-3300

More information

Reliability of Safety-Critical Systems Chapter 3. Failures and Failure Analysis

Reliability of Safety-Critical Systems Chapter 3. Failures and Failure Analysis Reliability of Safety-Critical Systems Chapter 3. Failures and Failure Analysis Mary Ann Lundteigen and Marvin Rausand mary.a.lundteigen@ntnu.no RAMS Group Department of Production and Quality Engineering

More information

Autonomous blimp control with reinforcement learning

Autonomous blimp control with reinforcement learning University of Wollongong Research Online University of Wollongong Thesis Collection 1954-2016 University of Wollongong Thesis Collections 2009 Autonomous blimp control with reinforcement learning Yiwei

More information

Accellera Systems Initiative SystemC Standards Update

Accellera Systems Initiative SystemC Standards Update Accellera Systems Initiative SystemC Standards Update Martin Barnasconi (NXP), Philipp A. Hartmann (Intel), Stephan Schulz (Fraunhofer) Accellera Systems Initiative Presentation Overview Accellera Overview

More information

Queue analysis for the toll station of the Öresund fixed link. Pontus Matstoms *

Queue analysis for the toll station of the Öresund fixed link. Pontus Matstoms * Queue analysis for the toll station of the Öresund fixed link Pontus Matstoms * Abstract A new simulation model for queue and capacity analysis of a toll station is presented. The model and its software

More information

OBJECTIFICATION TECHNOLOGY OF PERCEIVED SAFETY & COMFORT DURING ASSISTED DRIVING

OBJECTIFICATION TECHNOLOGY OF PERCEIVED SAFETY & COMFORT DURING ASSISTED DRIVING OBJECTIFICATION TECHNOLOGY OF PERCEIVED SAFETY & COMFORT DURING ASSISTED DRIVING Erich Ramschak Dr. Jürgen Holzinger Erik Bogner AVL List GmbH erich.ramschak@avl.com ConCar-Forum Berlin 5.-6.July 2017

More information

ANALYSIS OF SIGNALISED INTERSECTIONS ACCORDING TO THE HIGHWAY CAPACITY MANUAL FROM THE POINT OF VIEW OF THE PROCESSES APPLIED IN HUNGARY

ANALYSIS OF SIGNALISED INTERSECTIONS ACCORDING TO THE HIGHWAY CAPACITY MANUAL FROM THE POINT OF VIEW OF THE PROCESSES APPLIED IN HUNGARY 2nd Int. Symposium in Civil Engineering 1998 Budapest ANALYSIS OF SIGNALISED INTERSECTIONS ACCORDING TO THE HIGHWAY CAPACITY MANUAL FROM THE POINT OF VIEW OF THE PROCESSES APPLIED IN HUNGARY István Styevola

More information

Compression of FPGA Bitstreams Using Improved RLE Algorithm

Compression of FPGA Bitstreams Using Improved RLE Algorithm International Journal of Scientific & Engineering Research, Volume 4, Issue 6, June-2013 1162 Compression of FPGA Bitstreams Using Improved RLE Algorithm P.Hemnath V.Prabhu Abstract - FPGA are configured

More information

Smart Data Role computers play in Technology

Smart Data Role computers play in Technology Smart Data Role computers play in Technology October 30 th 2015 Sizzle Video 2016 2 Introduction: Will Phillips INDYCAR Vice President of Technology Daniel Louks INDYCAR Support Engineer Smart Data Role

More information

Fast Floating Point Compression on the Cell BE Processor

Fast Floating Point Compression on the Cell BE Processor Fast Floating Point Compression on the Cell BE Processor Ajith Padyana, T.V. Siva Kumar, P.K.Baruah Sri Satya Sai University Prasanthi Nilayam - 515134 Andhra Pradhesh, India ajith.padyana@gmail.com, tvsivakumar@gmail.com,

More information

Connect with Confidence NO POWER NO PROBLEM

Connect with Confidence NO POWER NO PROBLEM Connect with Confidence NO POWER NO PROBLEM The ideal solution to implement wireless sensor monitoring in IoT applications where power is not available. At last, there s a roll-out ready way to implement

More information

Examples of Carter Corrected DBDB-V Applied to Acoustic Propagation Modeling

Examples of Carter Corrected DBDB-V Applied to Acoustic Propagation Modeling Naval Research Laboratory Stennis Space Center, MS 39529-5004 NRL/MR/7182--08-9100 Examples of Carter Corrected DBDB-V Applied to Acoustic Propagation Modeling J. Paquin Fabre Acoustic Simulation, Measurements,

More information

ZIN Technologies PHi Engineering Support. PHi-RPT CFD Analysis of Large Bubble Mixing. June 26, 2006

ZIN Technologies PHi Engineering Support. PHi-RPT CFD Analysis of Large Bubble Mixing. June 26, 2006 ZIN Technologies PHi Engineering Support PHi-RPT-0002 CFD Analysis of Large Bubble Mixing Proprietary ZIN Technologies, Inc. For nearly five decades, ZIN Technologies has provided integrated products and

More information

Instrument pucks. Copyright MBARI Michael Risi SIAM design review November 17, 2003

Instrument pucks. Copyright MBARI Michael Risi SIAM design review November 17, 2003 Instrument pucks Michael Risi SIAM design review November 17, 2003 Instrument pucks Pucks and Plug-and-Work The MBARI puck prototype Puck software interface Pucks in practice (A Puck s Tale) Embedding

More information

Best Practices for Developing IBIS-AMI Models

Best Practices for Developing IBIS-AMI Models Best Practices for Developing IBIS-AMI Models Walter Katz, SiSoft wkatz@sisoft.com Mike Steinberger, SiSoft msteinb@sisoft.com Todd Westerhoff, SiSoft twesterh@sisoft.com DAC 2010 IBIS Summit Anaheim,

More information

The HumiSys. RH Generator. Operation. Applications. Designed, built, and supported by InstruQuest Inc.

The HumiSys. RH Generator. Operation. Applications. Designed, built, and supported by InstruQuest Inc. The HumiSys RH Generator Designed, built, and supported by InstruQuest Inc. Versatile Relative Humidity Generation and Multi-Sensor System The new HumiSys with single or dual RH probes capabilities is

More information

Final Report. Remote Fencing Scoreboard Gator FenceBox

Final Report. Remote Fencing Scoreboard Gator FenceBox EEL 4924 Electrical Engineering Design (Senior Design) Final Report 26 April 2012 Remote Fencing Scoreboard Team Members: Adrian Montero and Alexander Quintero Page 2 of 14 Project Abstract: The scope

More information

Software Design of the Stiquito Micro Robot

Software Design of the Stiquito Micro Robot Software Design of the Stiquito Micro Robot Andrew McClain and James M. Conrad University of North Carolina at Charlotte jmconrad@uncc.edu Abstract The Stiquito robot is a small, six legged robot that

More information

Engineering: Measurement Technology Pressure/Level (SCQF level 6)

Engineering: Measurement Technology Pressure/Level (SCQF level 6) National Unit Specification: general information CODE F5KT 12 SUMMARY This Unit can be delivered as part of a National Qualification Group Award but can also be taken as a free-standing Unit. This Unit

More information

ACCURATE PRESSURE MEASUREMENT FOR STEAM TURBINE PERFORMANCE TESTING

ACCURATE PRESSURE MEASUREMENT FOR STEAM TURBINE PERFORMANCE TESTING ACCURATE PRESSURE MEASUREMENT FOR STEAM TURBINE PERFORMANCE TESTING Blair Chalpin Charles A. Matthews Mechanical Design Engineer Product Support Manager Scanivalve Corp Scanivalve Corp Liberty Lake, WA

More information

PSM I PROFESSIONAL SCRUM MASTER

PSM I PROFESSIONAL SCRUM MASTER PSM I PROFESSIONAL SCRUM MASTER 1 Upon What kind of process control is SCRUM based? a) IDEAL b) SCRUM enterprise c) Empirical d) Agile 2 If burndown charts are used to visualize progress, what do they

More information

Safety Manual. Process pressure transmitter IPT-1* 4 20 ma/hart. Process pressure transmitter IPT-1*

Safety Manual. Process pressure transmitter IPT-1* 4 20 ma/hart. Process pressure transmitter IPT-1* Safety Manual Process pressure transmitter IPT-1* 4 20 ma/hart Process pressure transmitter IPT-1* Contents Contents 1 Functional safety 1.1 General information... 3 1.2 Planning... 4 1.3 Instrument parameter

More information

Purpose. Scope. Process flow OPERATING PROCEDURE 07: HAZARD LOG MANAGEMENT

Purpose. Scope. Process flow OPERATING PROCEDURE 07: HAZARD LOG MANAGEMENT SYDNEY TRAINS SAFETY MANAGEMENT SYSTEM OPERATING PROCEDURE 07: HAZARD LOG MANAGEMENT Purpose Scope Process flow This operating procedure supports SMS-07-SP-3067 Manage Safety Change and establishes the

More information

Neural Network in Computer Vision for RoboCup Middle Size League

Neural Network in Computer Vision for RoboCup Middle Size League Journal of Software Engineering and Applications, 2016, *,** Neural Network in Computer Vision for RoboCup Middle Size League Paulo Rogério de Almeida Ribeiro 1, Gil Lopes 1, Fernando Ribeiro 1 1 Department

More information

A Study on Algorithm for Compression and Decompression of Embedded Codes using Xilinx

A Study on Algorithm for Compression and Decompression of Embedded Codes using Xilinx A Study on Algorithm for Compression and Decompression of Embedded Codes using Xilinx M.Mohana Soundarya 1, Dr.S.Jayachitra 2 1 PG Scholar, Department of ECE, Vivekanandha College of Engineering for Women,

More information

Instruction Cache Compression for Embedded Systems by Yujia Jin and Rong Chen

Instruction Cache Compression for Embedded Systems by Yujia Jin and Rong Chen Instruction Cache Compression for Embedded Systems by Yujia Jin and Rong Chen Abstract Code compression could lead to less overall system die area and therefore less cost. This is significant in the embedded

More information

A 64 Bit Pipeline Based Decimal Adder Using a New High Speed BCD Adder

A 64 Bit Pipeline Based Decimal Adder Using a New High Speed BCD Adder A 64 Bit Pipeline Based Decimal Adder Using a New High Speed BCD Adder Rahul Jain 1, Khushboo Singh 2, Ghanshyam Jangid 3 1 Assistant Professor GIT College Jaipur, Rajasthan, India 2 M.Tech Student, Suresh

More information

Author s Name Name of the Paper Session. Positioning Committee. Marine Technology Society. DYNAMIC POSITIONING CONFERENCE September 18-19, 2001

Author s Name Name of the Paper Session. Positioning Committee. Marine Technology Society. DYNAMIC POSITIONING CONFERENCE September 18-19, 2001 Author s Name Name of the Paper Session PDynamic Positioning Committee Marine Technology Society DYNAMIC POSITIONING CONFERENCE September 18-19, 2001 POWER PLANT SESSION A New Concept for Fuel Tight DP

More information

Implementation of Height Measurement System Based on Pressure Sensor BMP085

Implementation of Height Measurement System Based on Pressure Sensor BMP085 017 nd International Conference on Test, Measurement and Computational Method (TMCM 017) ISBN: 978-1-60595-465-3 Implementation of Height Measurement System Based on Pressure Sensor BMP085 Gao-ping LIU

More information

Open Research Online The Open University s repository of research publications and other research outputs

Open Research Online The Open University s repository of research publications and other research outputs Open Research Online The Open University s repository of research publications and other research outputs Developing an intelligent table tennis umpiring system Conference or Workshop Item How to cite:

More information

SIL explained. Understanding the use of valve actuators in SIL rated safety instrumented systems ACTUATION

SIL explained. Understanding the use of valve actuators in SIL rated safety instrumented systems ACTUATION SIL explained Understanding the use of valve actuators in SIL rated safety instrumented systems The requirement for Safety Integrity Level (SIL) equipment can be complicated and confusing. In this document,

More information

A4s Operation Manual

A4s Operation Manual A4s Operation Manual Safety Instruction Please read this manual carefully, also with related manual for the machinery before use the controller. For installing and operating the controller properly and

More information

EasySas. The most advanced airlock electronics on the market. Recyclable product. Eco-design. Energy savings

EasySas. The most advanced airlock electronics on the market. Recyclable product. Eco-design. Energy savings EasySas The most advanced airlock electronics on the market Eco-design Energy savings Recyclable product ELECTRONIC AIRLOCK MANAGEMENT SkySas range UniSas range CompacSas range An electronic management

More information

Design and Technology Solutions for Development of SiGeMEMS devices. Tom Flynn Vice President, Sales Coventor

Design and Technology Solutions for Development of SiGeMEMS devices. Tom Flynn Vice President, Sales Coventor Design and Technology Solutions for Development of SiGeMEMS devices Tom Flynn Vice President, Sales Coventor Special thanks to: Stephane Donnay, Program Manager, imec Gerold Schropfer, Director, Foundary

More information

Software Reliability 1

Software Reliability 1 Software Reliability 1 Software Reliability What is software reliability? the probability of failure-free software operation for a specified period of time in a specified environment input sw output We

More information

C o d i n g f o r i n t e r a C t i v e d i g i t a l M e d i a

C o d i n g f o r i n t e r a C t i v e d i g i t a l M e d i a 9 0 9 7 C o d i n g f o r i n t e r a C t i v e d i g i t a l M e d i a 30S/30E/30M An Interactive Digital Media Course 9 0 9 7 : C o d i n g f o r i n t e r a C t i v e d i g i t a l M e d i a 3 0 S

More information

future of mbe/mde/ mdd in the industry -- Open source is the only solution!

future of mbe/mde/ mdd in the industry -- Open source is the only solution! future of mbe/mde/ mdd in the industry -- Open source is the only solution! Presented by Francis Bordeleau francis.bordeleau@ericsson.com Outline Ericsson intro Modeling context Why open source? Impact

More information

C. Mokkapati 1 A PRACTICAL RISK AND SAFETY ASSESSMENT METHODOLOGY FOR SAFETY- CRITICAL SYSTEMS

C. Mokkapati 1 A PRACTICAL RISK AND SAFETY ASSESSMENT METHODOLOGY FOR SAFETY- CRITICAL SYSTEMS C. Mokkapati 1 A PRACTICAL RISK AND SAFETY ASSESSMENT METHODOLOGY FOR SAFETY- CRITICAL SYSTEMS Chinnarao Mokkapati Ansaldo Signal Union Switch & Signal Inc. 1000 Technology Drive Pittsburgh, PA 15219 Abstract

More information