Department of Computer Systems. Reusing verfieid components is much better than re-inventing the wheel!

Size: px
Start display at page:

Download "Department of Computer Systems. Reusing verfieid components is much better than re-inventing the wheel!"

Transcription

1 TKT-2431 Soc Design Lec 2 Reuse of IP components Erno Salminen Tampere University of Technology Fall 2011 Reusing verfieid components is much better than re-inventing the wheel! Erno Salminen - Sep. 2011

2 Copyright notice Part of the slides adapted reused from slides by Alberto Sangiovanni- Vincentelli course EE249 at University of California, Berkeley Part of figures from P.J. Bricaud, IP Reuse Creation for System-on-a-Chip, CICC, 1999, pp D.D. Gajski et al., Essential issues for IP reuse, ASP-DAC, Jan. 2000, pp F. Wagner et al., Strategies for the integration of hardware and software IP components in embedded systems-onchip, Integration, the VLSI Journal, September 2004, Vol. 37, Iss. 4, pp #2/43 Erno Salminen - Sep. 2011

3 Outline Motivation, Intellectual property (IP), examples IP design and integration Impact on design time and business #3/43 Erno Salminen - Sep. 2011

4 At first Make sure that simple things work before even trying more complex ones #4/43 Erno Salminen - Sep. 2011

5 Design time breakdown Task Time ratio [%] Verification 40 RTL + synthesis 20 IC layout 10 Test 10 System integration 10 Start from smallest component (Divide&conquer) Other 10 [Bricaud] Improving verification and RTL design has biggest effect Even larger verification times reported in many sources... #5/43 Erno Salminen - Sep. 2011

6 Increasing system size Hemani s law : size of reused component grows 10x per every decade All SoCs are built around reused components [H. Tenhunen, Issues for Communication and InterconnectionDesign in Gigascale Systems-on- Chip, Tampere Soc, Nov. 2000] #6/43 Erno Salminen - Sep. 2011

7 Intellectual Property (IP) components Reusable, pre-designed and verified components May be hardware or software (especially HW IP) also called macros and cores E.g. microprocessor, memory, HW accelerator, SW function Simplify system design Don t have to reinvent the wheel Moreover, don t have to re-verify the wheel Faster, better time estimates, less risk May be tuned to a particular application Behemoth-CPU Gizmo-CPU Embedded SRAM Embedded Flash AES encryption PCIexpress Penguin operating system Embedded Graphics lib #7/43 Erno Salminen - Sep. 2011

8 IP components (2) Principles for reuse [Bricaud] Must be easy to integrate Appropriate (stardardized?) pin interface Usage from other HW and SW Must be robust works in many environments Integrator t does not have to verify However, integrator must have verification suite [Salminen] To be reusable, must be usable Good documentation Good code (e.g. DCS VHDL coding rules) Thorough commenting Well-designed verification environment and suites Supporting scripts #8/43 Erno Salminen - Sep. 2011

9 Processors core examples, 180nm CMOS [Salminen et al., Comparison of Hardware IP Components for System-on-Chip, Tampere Soc Symposium, 2004.] _Comparison_of_Hardware_IP.pdf&id=67604 #9/43 Erno Salminen - Sep. 2011

10 HW Accelerator examples, 180nm CMOS #10/43 Erno Salminen - Sep. 2011

11 Conclusion of examples Area Frequency Proportion of IP % % % % % % proc. kgates accelerator kgates Proportion of IP % % % % % proc freq accelerator freq 5.00 % 5.00 % 0.00 % % Area [kilogates] Frequency [MHz] Energy per cycle Prorportion of IPs % % % % % % % % 5.00 % 0.00 % proc energy accelerator energy Energy [mw/mhz] HW accelerator have higher performance frequency can be lower Similarly energy per cycle can be higher, but energy per function is lower #11/43 Erno Salminen - Sep. 2011

12 HW IP types 1. Soft core Delivered as synthesizable RTL code Integrator can modify IP by changing generics code 2. Hard core Fully designed, placed, and routed Cannot be modified d Delivered as GDSII file (or similar) Technology-specific 3. Firm core Somewhere between soft and hard E.g. synthesized netlist #12/43 Erno Salminen - Sep. 2011

13 IP types: Increasing generality (softer) Bigger potential markets More applications Can be implemented with many technologies Allows changes Separate simulation model not necessarily needed d Harder to verify Harder to optimize Harder to characterize (predict performance and cost) #13/43 Erno Salminen - Sep. 2011

14 Designing IP components Erno Salminen - Sep. 2011

15 Design for reuse Solve a general problem e.g. memory, processor All parts verified independently Full, stand-alone testbenches for all entities to achieve high test coverage Usable in multiple technologies e.g. TMSC 0.18 um, TI 0.13 um, IBM 90nm, Altera FPGA Includes standard interfaces e.g. OCP, AMBA AXI, PCI-X Can be simulated in multiple environments High-level models available Models must have same functionality Packetized properly Sources, docs, scripts, IP-XACT #15/43 Erno Salminen - Sep. 2011

16 IP design: 1 specify system Overall functionality and verification strategy Timing Preferably synhcronous and register-based Num of clocks doumented (small num preferred) Reset documented (active low preferred) Synthesis scripts (with comments) Debug and test features (e.g. special modes and I/O) Physical issues (noise, power, area, etc) Partition system into macros (e.g. CPU, DMA) Always in partitioning, aim for minimal interaction between components Components cannot assume anything of each other s internal timing Pay attention to interfaces #16/43 Erno Salminen - Sep. 2011

17 IP design: 2 macro and sub-block block design Create specification and verification plan The more we verify at HDL level, the easier the final verification is Partition macros into sub-blocks Design teams work simultaneously on sub-blocks blocks Only small (1-2 person) design teams needed Develop testbench and write RTL Simulate and synthesize (all warnings enabled) Run static code checkers ( lint ) Iterate Sub-block is complete when simulation, synthesis and code checkers results are satisfactory TKT Digitaalijärjestelm mien toteutus / TKT 1426 Digital design for FPGA #17/43 Erno Salminen - Sep. 2011

18 Macro and sub-block block design (2) Term decomposition also used [Bricaud] #18/43 Erno Salminen - Sep. 2011

19 IP design 3: Sub-block block integration Very important step First grading of how reusable sub-blocks are Ease of integration Speed of verification Otherwise, similar to sub-block design [Bricaud] #19/43 Erno Salminen - Sep. 2011

20 IP design: 4 - productization Develop prototype If one can afford... Ensure that macro is synthesizable with multiple technologies Simulate at gate level Verify formally that netlist from synthesis is equivalent to RTL Document everything #20/43 Erno Salminen - Sep. 2011

21 IP deliverables: User guide HUOM! OBS! Muy importante! Key deliverable an IP without user guide is useless How to integrate and test lines of HDL without a manual? Used for macro selection, integration, and verification Documents functionality interface non-functional properties (area, latency, power...) directory structure of deliverables names and versions of tested SW tools (e.g. simulators, compilers)! test strategy, clockcing strategy architecture block diagram Most students tend to draw only this on the first page exceptions to coding guidelines #21/43 Erno Salminen - Sep. 2011

22 IP deliverables (2): others HUOM! OBS! Synthesizable HDL codes Muy importante! SW driver for accessing IP from CPU Application notes, instantiation examples Buyer should be able to run the first example in few minutes High-level functional model HDL, Matlab, C/C++, UML Bus functional model Synthesis, scan insertion, and ATPG scripts for all sub-blocks Installation scripts Testbenches for top-level and all sub-blocks Self-checking testbenches with high coverage #22/43 Erno Salminen - Sep. 2011

23 Portable and readable support scripts Also scripts must be commented Purpose of ALL parameters e.g. part of makefile COMPILE = armcc I ${ARMLIB}/armlib_cn.32l -c -fpu none -g+ -c -dwarf2 -Otime -O1 -Ono_inline -o $@ ASM = armasm -xref -g -o $@ LINK= armlink -map -symbols -elf -ro-base 0x0 -rw-base 0x first arm_init.o\(alustus\) -entry 0x0 -remove -info unused,sizes $(OBJS) -output $@ Often more important than code commenting Code languages are more commonly known Whereas, many scripts are tool-specific What if integrator uses different tool Parameter names are different Without comments it is painful find out original meaning of parameters without the tool, most probably there s no manual either Pay attention to EDA tools project files and directory path settings #23/43 Erno Salminen - Sep. 2011

24 IP integration Erno Salminen - Sep. 2011

25 Phases of IP creation and reuse Several phases precede the actual integration (phase 6): 1. Creation according to guidelines that simplify reuse 2. Qualification ensures that IP has qualities expected by consumers (integrators) 3-4. Classification and search consumers must find appropriate IP blocks from on-line catalogs where components are classified according to adequate criteria 5. Transfer delivers all needed information for evaluation and integration, including design data, documentation, test specification 5B. Evaluation a more accurate evaluation is usually needed before integrating it into a design, e.g. by instantiating the component into a testbench and simulating it [Wagner, Strategies for the integration..., VLSI, 2004] #25/43 Erno Salminen - Sep. 2011

26 IP Integration First, select IPs After that, like traditional ASIC flow Typical sign-off nowadays after RTL sythesis Aka. tape-out Memories are technology-dependent Generators used for implementation Simulation models needed sign-off rator ystem integ s silic con vendor #26/43 Erno Salminen - Sep. 2011

27 IP integration and interfaces IP must be adapted unless it natively supports the interface provided by the network Soft, white-box, IP allow direct modification of source codes Without source codes, additional wrapper (or adapter) is needed Standard interface Heterogenous interface Original IP Integration operation Outcome IP block IP block Modify Create wrapper Attach IP block Wrapper Attach Attach #27/43 Erno Salminen - Sep IP block IP block IP block Wrapper Adapted by A. Rasmus from [F. R. Wagner et al., Strategies for the integration of hardware and software IP components in embedded systems-on-chip, Integration, the VLSI Journal, September 2004, Vol. 37, Iss. 4, pp ] work Commun ication net

28 System-level verification Check first that all embdded IP components are thoroughly verified Bricaud: First big return on investment to the reusable effort Running all tests may takes weeks E.g. embedded CPU may have >500 test suites Done by IP designer before integration starts When IPs have verified separately, concentrate on communication between components Test1: If A sends data, then B gets it correctly and acknowledges More details in lecture 4 #28/43 Erno Salminen - Sep. 2011

29 System-design Y-chart Specify behavior and architecture separately These functions must be executed These resources we have Map functions to the resources Foo() is executed on CPU0 Bar() is executed on CPU1 #29/43 Erno Salminen - Sep. 2011

30 1. Separate Behavior from Micro- architecture System Behavior Implementation (micro)architecture Functional Specification of System Ideally no notion of hardware or software! HW and low-level SW Optimized computer Front End 1 Transport Decode 2 Rate Buffer 12 Rate Buffer 5 Mem 13 User/Sys Control 3 Synch Control 4 Video Decode 6 Sensor Frame Buffer 7 Video Output 8 External I/O MPEG Peripheral Proc cessor Bus DSP Processor DSP RAM Control Processor Rate Buffer 9 Audio Decode/ Output 10 Audio Decode System RAM Mem 11 Architecture defines only the interface things inside this box are microarchitecture #30/43 Erno Salminen - Sep. 2011

31 2. Select components Which Bus? AMBA? HIBI? Dedicated Bus for DSP? Which DSP Processor? TI? Motorola? Can DSP be done on Microcontroller? Can I Buy an MPEG2 Processor? External I/O DSP Processor Which One? MPEG Peripheral Bus Processor DSP RAM Control Processor Which Microcontroller? ARM? MIPS? Audio Decode Do I need a dedicated Audio Decoder? Can decode be done on Microcontroller? System RAM How fast will my User Interface SW run? How much can I fit on my microcontroller? #31/43 Erno Salminen - Sep. 2011

32 3. Map behavior to architecture and verify Transport Decode Implemented as Software Task Running on Microcontroller HUOM! OBS! Muy importante! Rate Buffer 12 Mem 13 User/Sys Control 3 Sensor External I/O DSP Processor Front End 1 Transport Decode 2 Rate Buffer 5 Rate Buffer 9 Synch Control 4 Video Decode 6 Audio Decode/ Output 10 Frame Buffer 7 Communication Video Output 8 Over Bus Audio Decode Behavior Implemented on MPEG Peripheral Audio Decode Proces ssor Bus DSP RAM Control Processor System RAM Mem 11 Dedicated Hardware Behavior Mapping Implementation architecture #32/43 Erno Salminen - Sep. 2011

33 Impact of reuse on design time and business Erno Salminen - Sep. 2011

34 Decreasing design time Decreasing effort (time) in early design phases often lenghtens total design time Especially debug phase a) first spec rtl tb debug method b) save time from rtl spec rtl tb debug c) save time from tb spec rtl tb debug d) save time from spec spec rtl tb debug time #34/43 Erno Salminen - Sep. 2011

35 Decreasing design time (2) Increasing effort (time) in early design phases shortens total design time Especially debug a) first spec rtl tb debug method f) add time to rtl spec rtl tb debug g) add time to tb spec rtl tb debug h) add time to spec spec rtl tb debug i) add time to spec+tb spec rtl tb debug [J.P. Bowen, M.G. Hinchey, Ten Commandments of Formal Methods...Ten Years Later, Computer, Vol. 39, Iss. 1, Jan. 2006, pp ] #35/43 Erno Salminen - Sep time

36 Decreasing design time (3) Design for reuse adds design time but reuse saves many times more a) first spec rtl tb debug method i) add time to spec+tb spec rtl tb debug j) i+ design for reuse spec rtl tb debug Reusing j in next product spec rtl tb debug time #36/43 Erno Salminen - Sep. 2011

37 IP creation methods?????? Repeat the block design every time, do not reuse anything Reverse engineer an existing IP without specs into reusable form Design a new, reusable IP block from scratch Buy a third-party IP. Costs vary according to license policy Rescue an existing block into more reusable form. Specs available. Adapted from [M. Peryer, When does it make sense to design for reuse?, DesignCon, 1999.] #37/43 Erno Salminen - Sep. 2011

38 IP business (1) Three parties in IP business: 1. IP provider Designs new IPs or converts legacy code into reusable form Has to assure customers on quality of IPs Offers support services 2. IP integrator is the customer Uses IPs to be a producer on other markets #38/43 Erno Salminen - Sep. 2011

39 IP business (2) 3. IP tool developer develops design methodologies and EDA software To migrate IPs to new tehcnologies To support documentatation and data retrieval To select appropriate IPs through analysis and comparison (exploration) Furthemore, IP business needs methods for solving Pricing and licenses Service Distribution Security #39/43 Erno Salminen - Sep. 2011

40 Marketing example : Car IP Breaking news! Our company offers new car IP! top speed 250 km/h fuel efficiency 4.7 liters /100 km Oh, forgot to mention that there are actually 2 different IPs Mercedes-Benz CL 55 AMG 250 km/h, 11.4 l/100 km This is unlikely for 250 km/h speed Smart fourtwo coupé 37 kw pure 135 km/h, 4.7 l/100 km Photos courtesy of Mercedes Benz and smart Gmbh #40/43 Erno Salminen - Sep. 2011

41 Essential issues #41/43 Erno Salminen - Sep. 2011

42 Proof of concept English ARM Ltd. is a fabless company They do not make or sell silicon chips but they sell IP Customers buy licenses to use the CPUs Customer gets the design data of CPU and integrates that t into their own chip ARM is also very strict on license misconduct Extremely high volumes! Approx.14e9 devices with ARM sold so far E.g. nearly all cellphones to date have used ARM- based processors Company s goal is to sell 1 ARM per person each year #42/43 Erno Salminen - Sep. 2011

43 Conclusion Systems cannot be designed from scratch: Reuse everything you can Bricaud: Impossible not to stress again the importance of documentation... Verify each sub-block block separately Develop guidelines for coding (and follow them) Generality and reusability of a component degrades its performance a little Saving in design effort should be much larger #43/43 Erno Salminen - Sep. 2011

44 For selfstudy Erno Salminen - Sep. 2011

45 Further reading: M. Keating, P. Bricaud, Reuse methodology manual: for system-on-a-chip designs, Kluwer Academic Publishers Norwell, MA, USA, 1998 / 2002, ISBN: Mark Peryer, When does it make sense to design for reuse?, DesignCon, Request free copy from: h.cfm?baseurl=/products/ip/techpubs/index.cfm #45/43 Erno Salminen - Sep. 2011

46 Reasons to reuse [Peryer] To accelerate the next design Eliminating a block design task Reduce the risk of failure Using a block which has been proven To bring more of the project variables under control A reusable block is ready to go and doesn t need a time to completion estimate [Salminen] Just because you have to! All SoCs are built around reused components #46/43 Erno Salminen - Sep. 2011

47 Old nemesis: Documentation % of all 200 IPs Poor documentation frustrated many during the study. However, note that these results from product briefs and articles. They are not from actual IP documentation ti [Salminen, SoC, 2004] #47/43 Erno Salminen - Sep. 2011

48 Constants vs. generics in VHDL Basically, generic parameter is a fundamental idea in VHDL and design reuse, use it. Avoid constants (in packages or architecture) if data_width is defined is package, it is impossible to have instances with different data_width e.g. all adders in design are 10 bits wide With generics, that is possible The component size should be changed with generics NOT by modifying the code. When the VHDL code is reused, there should be no need to read the code except the entity definition If there are illegal combinations of generic values, use assert to make sure that given generics are valid However, having many generic parameters, complicates verification Use generics instead of constants #48/43 Erno Salminen - Sep. 2011

49 Reporting digital systems The result (area/power/performance/frequency/combination) is a sum of several factors Comparison not possible unless they are specified Process line width, supply voltage, worst/nominal/best-case, low power/high speed process, output load, FPGA type number and LUT count, Detail level RTL/layout results, VHDL/Verilog/SystemC, memories inluded?, I/O pads and power supplies included?, memory usage for SW, power simulation: supply voltage, RTL/gate-level/transistor l/t t sim., swithing activity, leakage included?, crosstalk included?, glitches included?, memories included? Design method synthesis/full-custom design, tool version, System parameters data width, buffer size, pin-limited/logic-limited system?, memory interface Others... [E. Salminen, On Preparing Clear Publications, lecture slides on TKT On Preparing Clear Publications, lecture slides on TKT-2410 Scientific publishing, Tampere, Finland, Nov ] #49/43 Erno Salminen - Sep. 2011

50 IP-XACT standard Standard XML for HW integration and design automation Describes metadata of components and designs Language and tool independent Allows multi vendor design environments More info on course TKT 3541/3547 SoC alustat/soc platforms #50/43 Erno Salminen - Sep. 2011

51 IP XACT component metadata Bus interfaces (I/O ports) Signals (also those which do not belong to any bus interface) Model parameters (=generics) Address spaces Register definitions Component generators File sets Vendor extensions: e.g. Description, tool specific settings #51/43 Erno Salminen - Sep. 2011

52 IP XACT design Structural description of HW platform Instantiates components Connects them together Metadata makes design capture easy Components are connected on interface, not signal level Interface metadata allow plug-an-play integration Stored in XML format Automatically converted to VHDL IP XACT design Interconnection Component instance 1 Component instance 2 Component instance 3 Hierarchical connection Bus interfaces Ad hoc connection #52/43 Erno Salminen - Sep. 2011

53 System Level Design Science Design Methodology: Top Down Aspect: Orthogonalization of Concerns: Separate Implementation from Conceptual Aspects E.g. separate computation from communication E.g. separate behavior from (micro)architecture Formalization: precise unambiguous semantics Abstraction: capture the desired system details (do not overspecify) Decomposition: partitioning the system behavior into simpler behaviors Successive Refinements: refine the abstraction level down to the implementation by filling in details and passing constraints Bottom Up Aspect: IP Re-use (even at the algorithmic and functional level) Components of architecture are from pre-existingexisting library Lecture 3 #53/43 Erno Salminen - Sep. 2011

54 IP integration How to integrate system blocks? Starting from the system level With a consistent test-bench Getting from the abstract, un-timed system model to the clocked HW or SW implementation model Communication between blocks Addressing Platform Based design Example 3G Cell phone Which are the optimal algorithms? Do they work together functionally? Is the architecture sufficient? Does the implementation integration work? Embedded System Specification and Requirements Platform Function System Integration Platform Architecture Performance Analysis and Platform Configuration Communication Refinement Communication Integration Hardware Assembly Software Assembly Implementation Level Verification Synthesis / Place & Route etc. IP Block System Integration n + testing #54/43 Erno Salminen - Sep. 2011

55 Salminen s forecast Any legal issue will be far more challenging in IP reuse(*) than any technical issue (*) () = any work? P.S. Reuse within the company is less likely to confront legal issues #55/43 Erno Salminen - Sep. 2011

Part of the slides. Part of figures from. adapted reused from slides by Alberto Sangiovanni- Vincentelli

Part of the slides. Part of figures from. adapted reused from slides by Alberto Sangiovanni- Vincentelli TKT-2431 Soc Design Lec 2 Reuse of IP components Erno Salminen, Tero Arpinen Department of Computer Systems Tampere University of Technology Fall 2010 Erno Salminen - Sep. 2010 Copyright notice Part of

More information

VLSI Design 12. Design Styles

VLSI Design 12. Design Styles 1 9 8 5 1 9 8 7 1 9 9 1 1 9 9 3 1 9 9 9 2 0 0 1 2 0 0 5 2 0 0 7 2 0 0 9 Pr odu ctiv it y (T r an s./ St a f -M o nt h ) VLSI Design Last module: Floorplanning Sequential circuit design Clock skew This

More information

THE CANDU 9 DISTRffiUTED CONTROL SYSTEM DESIGN PROCESS

THE CANDU 9 DISTRffiUTED CONTROL SYSTEM DESIGN PROCESS THE CANDU 9 DISTRffiUTED CONTROL SYSTEM DESIGN PROCESS J.E. HARBER, M.K. KATTAN Atomic Energy of Canada Limited 2251 Speakman Drive, Mississauga, Ont., L5K 1B2 CA9900006 and M.J. MACBETH Institute for

More information

Design of AMBA APB Protocol

Design of AMBA APB Protocol Design of AMBA APB Protocol G. Venkat Rao 1, Pasam Abhigna 2, J. Naveen 3, S. Vineeth Reddy 4 1 Assistant Professor Department of ECE Geethanjali College of engineering and technology 2, 3, 4 Bachelor

More information

Layout Design II. Lecture Fall 2003

Layout Design II. Lecture Fall 2003 Layout Design II Lecture 6 18-322 Fall 2003 Roadmap Today: Layout Verification & design in the large Next week: Transistor sizing Wires Homework 1: Due Today Homework 2: Out Today, Due Sept 18 Lab 2: This

More information

Computing s Energy Problem:

Computing s Energy Problem: Computing s Energy Problem: (and what we can do about it) Mark Horowitz Stanford University horowitz@ee.stanford.edu 1 of 46 Everything Has A Computer Inside 2of 46 The Reason is Simple: Moore s Law Made

More information

Assertion-Based Verification

Assertion-Based Verification 1 Assertion-Based Verification Harry D. Foster Chief Scientist Verification IC Verification Solutions Division February 2018 Outline How Verification is Done Today What Makes Verification Difficult Observability

More information

IBIS Modeling for IO-SSO Analysis. Thunder Lay and Jack W.C. Lin IBIS Asia Summit Taipei, Taiwan Nov. 19, 2013

IBIS Modeling for IO-SSO Analysis. Thunder Lay and Jack W.C. Lin IBIS Asia Summit Taipei, Taiwan Nov. 19, 2013 IBIS Modeling for IO-SSO Analysis Thunder Lay and Jack W.C. Lin IBIS Asia Summit Taipei, Taiwan Nov. 19, 2013 Agenda What is IO-SSO? Missing Components in Traditional IO-SSO Analysis Accurate On-die and

More information

New IBIS Techniques for Modeling Complex IO Cadence Webinar March 23, 2005 Donald Telian

New IBIS Techniques for Modeling Complex IO Cadence Webinar March 23, 2005 Donald Telian New IBIS Techniques for Modeling Complex IO Cadence Webinar March 23, 2005 Donald Telian 1 CADENCE DESIGN SYSTEMS, INC. About the Presenter Donald Telian 20+ years in high-speed PCB and SI Engineer at

More information

Using MATLAB with CANoe

Using MATLAB with CANoe Version 2.0 2017-03-09 Application Note AN-IND-1-007 Author Restrictions Abstract Vector Informatik GmbH Public Document This application note describes the usage of MATLAB /Simulink combined with CANoe.

More information

GOLOMB Compression Technique For FPGA Configuration

GOLOMB Compression Technique For FPGA Configuration GOLOMB Compression Technique For FPGA Configuration P.Hema Assistant Professor,EEE Jay Shriram Group Of Institutions ABSTRACT Bit stream compression is important in reconfigurable system design since it

More information

Distributed Control Systems

Distributed Control Systems Unit 41: Unit code Distributed Control Systems M/615/1509 Unit level 5 Credit value 15 Introduction With increased complexity and greater emphasis on cost control and environmental issues, the efficient

More information

Iteration: while, for, do while, Reading Input with Sentinels and User-defined Functions

Iteration: while, for, do while, Reading Input with Sentinels and User-defined Functions Iteration: while, for, do while, Reading Input with Sentinels and User-defined Functions This programming assignment uses many of the ideas presented in sections 6 and 7 of the course notes. You are advised

More information

Virtual Breadboarding. John Vangelov Ford Motor Company

Virtual Breadboarding. John Vangelov Ford Motor Company Virtual Breadboarding John Vangelov Ford Motor Company What is Virtual Breadboarding? Uses Vector s CANoe product, to simulate MATLAB Simulink models in a simulated or real vehicle environment. Allows

More information

Profile-driven Selective Code Compression

Profile-driven Selective Code Compression Profile-driven Selective Code Compression Yuan Xie and Wayne Wolf Electrical Engineering Department Princeton University Princeton, NJ 08540, USA yuanxie,wolf@ee.princeton.edu Haris Lekatsas NEC USA 4

More information

Reduction of Bitstream Transfer Time in FPGA

Reduction of Bitstream Transfer Time in FPGA IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 9, Issue 2, Ver. III (Mar - Apr. 2014), PP 82-86 Reduction of Bitstream Transfer Time in

More information

Spacecraft Simulation Tool. Debbie Clancy JHU/APL

Spacecraft Simulation Tool. Debbie Clancy JHU/APL FSW Workshop 2011 Using Flight Software in a Spacecraft Simulation Tool Debbie Clancy JHU/APL debbie.clancy@jhuapl.edu 443-778-7721 Agenda Overview of RBSP and FAST Technical Challenges Dropping FSW into

More information

82C288 BUS CONTROLLER FOR PROCESSORS (82C C C288-8)

82C288 BUS CONTROLLER FOR PROCESSORS (82C C C288-8) BUS CONTROLLER FOR 80286 PROCESSORS (82C288-12 82C288-10 82C288-8) Y Provides Commands and Controls for Local and System Bus Y Wide Flexibility in System Configurations Y High Speed CHMOS III Technology

More information

AC : MEASUREMENT OF HYDROGEN IN HELIUM FLOW

AC : MEASUREMENT OF HYDROGEN IN HELIUM FLOW AC 2010-2145: MEASUREMENT OF HYDROGEN IN HELIUM FLOW Randy Buchanan, University of Southern Mississippi Christopher Winstead, University of Southern Mississippi Anton Netchaev, University of Southern Mississippi

More information

Example of rather succesful integration

Example of rather succesful integration TKT-2431 Soc Design Lec 6 Integration example, Performance evaluation Erno Salminen Department of Computer Systems Tampere University of Technology Fall 2010 Example of rather succesful integration Erno

More information

Application Note AN-107

Application Note AN-107 SPEC Sensor TM Characterization & Calibration Considerations Scope This document is provided to describe the considerations needed to characterize, calibrate, verify and validate the measurement performance

More information

MPCS: Develop and Test As You Fly for MSL

MPCS: Develop and Test As You Fly for MSL MPCS: Develop and Test As You Fly for MSL GSAW 2008 Michael Tankenson & Lloyd DeForrest Wednesday April 2, 2008 Copyright 2008 California Institute of Technology. Government sponsorship acknowledged. Mission

More information

Fast Software-managed Code Decompression

Fast Software-managed Code Decompression Fast Software-managed Code Decompression Charles Lefurgy and Trevor Mudge Advanced Computer Architecture Laboratory Electrical Engineering and Computer Science Dept. The University of Michigan, Ann Arbor

More information

A Novel Decode-Aware Compression Technique for Improved Compression and Decompression

A Novel Decode-Aware Compression Technique for Improved Compression and Decompression A Novel Decode-Aware Compression Technique for Improved Compression and Decompression J. Suresh Babu, K. Tirumala Rao & P. Srinivas Department Of Electronics & Comm. Engineering, Nimra College of Engineering

More information

Surfing Interconnect

Surfing Interconnect Surfing Interconnect Mark R. Greenstreet and Jihong Ren University of British Columbia, Rambus Surfing Interconnect p.1/17 Motivation Wires are the problem: Wires scale poorly with feature size: Gate delays

More information

A study on the relation between safety analysis process and system engineering process of train control system

A study on the relation between safety analysis process and system engineering process of train control system A study on the relation between safety analysis process and system engineering process of train control system Abstract - In this paper, the relationship between system engineering lifecycle and safety

More information

Lecture 1 Temporal constraints: source and characterization

Lecture 1 Temporal constraints: source and characterization Real-Time Systems Lecture 1 Temporal constraints: source and characterization Basic concepts about real-time Requirements of Real-Time Systems Adapted from the slides developed by Prof. Luís Almeida for

More information

Simulation Model Portability 2 standard support in EuroSim Mk4

Simulation Model Portability 2 standard support in EuroSim Mk4 Simulation Portability 2 standard support in EuroSim Mk4 Jeroen Moelands EuroSim Design Leader (moelands@nlr.nl) Collaborative Engineering Systems department National Aerospace Laboratory NLR EuroSim Workshop

More information

Application of Bayesian Networks to Shopping Assistance

Application of Bayesian Networks to Shopping Assistance Application of Bayesian Networks to Shopping Assistance Yang Xiang, Chenwen Ye, and Deborah Ann Stacey University of Guelph, CANADA Abstract. We develop an on-line shopping assistant that can help a e-shopper

More information

Electronic Automatic Transmission for Bicycle

Electronic Automatic Transmission for Bicycle Electronic Automatic Transmission for Bicycle Team 4 Tianqi Liu, Ruijie Qi, and Xingkai Zhou ECE 445 Project Proposal Spring 2018 TA: Hershel Rege 1 Introduction 1.1 Objective Nowadays, an increasing number

More information

Reducing Code Size with Run-time Decompression

Reducing Code Size with Run-time Decompression Reducing Code Size with Run-time Decompression Charles Lefurgy, Eva Piccininni, and Trevor Mudge Advanced Computer Architecture Laboratory Electrical Engineering and Computer Science Dept. The University

More information

Cloud, Distributed, Embedded. Erlang in the Heterogeneous Computing World. Omer

Cloud, Distributed, Embedded. Erlang in the Heterogeneous Computing World. Omer Cloud, Distributed, Embedded. Erlang in the Heterogeneous Computing World Omer Kilic @OmerK omer@erlang-solutions.com Outline Challenges in modern computing systems Heterogeneous computing Co-processors

More information

The Safety Case. Structure of Safety Cases Safety Argument Notation

The Safety Case. Structure of Safety Cases Safety Argument Notation The Safety Case Structure of Safety Cases Safety Argument Notation Budapest University of Technology and Economics Department of Measurement and Information Systems The safety case Definition (core): The

More information

Training Fees 3,400 US$ per participant for Public Training includes Materials/Handouts, tea/coffee breaks, refreshments & Buffet Lunch.

Training Fees 3,400 US$ per participant for Public Training includes Materials/Handouts, tea/coffee breaks, refreshments & Buffet Lunch. Training Title DISTRIBUTED CONTROL SYSTEMS (DCS) 5 days Training Venue and Dates DISTRIBUTED CONTROL SYSTEMS (DCS) Trainings will be conducted in any of the 5 star hotels. 5 22-26 Oct. 2017 $3400 Dubai,

More information

Post-Placement Functional Decomposition for FPGAs

Post-Placement Functional Decomposition for FPGAs Post-Placement Functional Decomposition for FPGAs Valavan Manohararajah, Deshanand P. Singh, Stephen D. Brown and Zvonko G. Vranesic Altera Toronto Technology Center 151 Bloor Street West, Suite 200 Toronto,

More information

Pedestrian Dynamics: Models of Pedestrian Behaviour

Pedestrian Dynamics: Models of Pedestrian Behaviour Pedestrian Dynamics: Models of Pedestrian Behaviour John Ward 19 th January 2006 Contents Macro-scale sketch plan model Micro-scale agent based model for pedestrian movement Development of JPed Results

More information

Flight Software Overview

Flight Software Overview Solar Probe Plus A NASA Mission to Touch the Sun Flight Software Overview Christopher Krupiarz Christopher.Krupiarz@jhuapl.edu Overarching Science Objective To determine the structure and dynamics of the

More information

Session Objectives. At the end of the session, the participants should: Understand advantages of BFD implementation on S9700

Session Objectives. At the end of the session, the participants should: Understand advantages of BFD implementation on S9700 BFD Features Session Objectives At the end of the session, the participants should: Understand advantages of BFD implementation on S9700 Understand when to use BFD on S9700 1 Contents BFD introduction

More information

Engineering: Measurement Technology Pressure/Level (SCQF level 6)

Engineering: Measurement Technology Pressure/Level (SCQF level 6) National Unit Specification: general information CODE F5KT 12 SUMMARY This Unit can be delivered as part of a National Qualification Group Award but can also be taken as a free-standing Unit. This Unit

More information

- 2 - Companion Web Site. Back Cover. Synopsis

- 2 - Companion Web Site. Back Cover. Synopsis Companion Web Site A Programmer's Introduction to C# by Eric Gunnerson ISBN: 1893115860 Apress 2000, 358 pages This book takes the C programmer through the all the details from basic to advanced-- of the

More information

HASTAC High stability Altimeter SysTem for Air data Computers

HASTAC High stability Altimeter SysTem for Air data Computers HASTAC High stability Altimeter SysTem for Air data Computers André Larsen R&D Director Memscap Sensor Solution SIXTH FRAMEWORK PROGRAMME PRIORITY 4 Aeronautics and Space EC contract no. AST4-CT-2005-012334

More information

EEC 686/785 Modeling & Performance Evaluation of Computer Systems. Lecture 6. Wenbing Zhao. Department of Electrical and Computer Engineering

EEC 686/785 Modeling & Performance Evaluation of Computer Systems. Lecture 6. Wenbing Zhao. Department of Electrical and Computer Engineering EEC 686/785 Modeling & Performance Evaluation of Computer Systems Lecture 6 Department of Electrical and Computer Engineering Cleveland State University wenbing@ieee.org Outline 2 Review of lecture 5 The

More information

Hydronic Systems Balance

Hydronic Systems Balance Hydronic Systems Balance Balancing Is Misunderstood Balancing is application of fundamental hydronic system math Balance Adjustment of friction loss location Adjustment of pump to requirements By definition:

More information

Precision level sensing with low-pressure module MS

Precision level sensing with low-pressure module MS The task on hand Level sensing as it is understood in modern process automation is much more than simply "tank half full" or "tank a quarter full". Using suitable sensors, levels, inlets and outlets can

More information

Decompression Method For Massive Compressed Files In Mobile Rich Media Applications

Decompression Method For Massive Compressed Files In Mobile Rich Media Applications 2010 10th IEEE International Conference on Computer and Information Technology (CIT 2010) Decompression Method For Massive Compressed Files In Mobile Rich Media Applications Houchen Li, Zhijie Qiu, Lei

More information

Upgrading Vestas V47-660kW

Upgrading Vestas V47-660kW Guaranteed performance gains and efficiency improvements Upgrading Vestas V47-660kW Newly developed controller system enables increased Annual Energy Production up to 6.1% and safe turbine lifetime extension

More information

Rescue Rover. Robotics Unit Lesson 1. Overview

Rescue Rover. Robotics Unit Lesson 1. Overview Robotics Unit Lesson 1 Overview In this challenge students will be presented with a real world rescue scenario. The students will need to design and build a prototype of an autonomous vehicle to drive

More information

Outline. Terminology. EEC 686/785 Modeling & Performance Evaluation of Computer Systems. Lecture 6. Steps in Capacity Planning and Management

Outline. Terminology. EEC 686/785 Modeling & Performance Evaluation of Computer Systems. Lecture 6. Steps in Capacity Planning and Management EEC 686/785 Modeling & Performance Evaluation of Computer Systems Lecture 6 Department of Electrical and Computer Engineering Cleveland State University wenbing@ieee.org Outline Review of lecture 5 The

More information

ME 8843-Advanced Mechatronics. Project Proposal-Automatic Bike Transmission

ME 8843-Advanced Mechatronics. Project Proposal-Automatic Bike Transmission ME 8843-Advanced Mechatronics Project Proposal-Automatic Bike Transmission 1/21/09 Razid Ahmad Brandon Borm Todd Sifleet Project Proposal: Our goal for the semester long project is to create and automatic

More information

Roller AC Servo System

Roller AC Servo System Safely Instruction Roller AC Servo System HMI-15 User Manual Please read this manual carefully, also with related manual for the machinery before use the controller. For installing and operating the controller

More information

Reliability of Safety-Critical Systems Chapter 3. Failures and Failure Analysis

Reliability of Safety-Critical Systems Chapter 3. Failures and Failure Analysis Reliability of Safety-Critical Systems Chapter 3. Failures and Failure Analysis Mary Ann Lundteigen and Marvin Rausand mary.a.lundteigen@ntnu.no RAMS Group Department of Production and Quality Engineering

More information

AGW SYSTEMS. Blue Clock W38X

AGW SYSTEMS. Blue Clock W38X AGW SYSTEMS Blue Clock W38X Contents table BLUECLOCKS...3 THE EVOLUTION...4 WHAT S NEW!...5 HARDWARE...6 SOFTWARE...7 MULTI COMPANY...8 INSTANTLY...9 EVEN MORE!...10 WHAT S INSIDE HOW DOES IT WORK...11...12

More information

New Thinking in Control Reliability

New Thinking in Control Reliability Doug Nix, A.Sc.T. Compliance InSight Consulting Inc. New Thinking in Control Reliability Or Your Next Big Headache www.machinerysafety101.com (519) 729-5704 Control Reliability Burning Questions from the

More information

WiiMaze Design Document March 13, 2008

WiiMaze Design Document March 13, 2008 WiiMaze Design Document March 13, 2008 Yezhen Lu (yl2194) Brian Ramos (bjr2102) Shaun Salzberg (sds2110) Introduction We are going to implement a simple maze game using the Altera DE2 Board, a Wiimote,

More information

Who takes the driver seat for ISO and DO 254 verification?

Who takes the driver seat for ISO and DO 254 verification? Who takes the driver seat for ISO 26262 and DO 254 verification? Reconciling requirement based verification with coverage-driven verification Avidan Efody, Mentor Graphics Corp. Motivation ISO and DO users

More information

Software Design of the Stiquito Micro Robot

Software Design of the Stiquito Micro Robot Software Design of the Stiquito Micro Robot Andrew McClain and James M. Conrad University of North Carolina at Charlotte jmconrad@uncc.edu Abstract The Stiquito robot is a small, six legged robot that

More information

Best Practices for Developing IBIS-AMI Models

Best Practices for Developing IBIS-AMI Models Best Practices for Developing IBIS-AMI Models Walter Katz, SiSoft wkatz@sisoft.com Mike Steinberger, SiSoft msteinb@sisoft.com Todd Westerhoff, SiSoft twesterh@sisoft.com DAC 2010 IBIS Summit Anaheim,

More information

D-Case Modeling Guide for Target System

D-Case Modeling Guide for Target System D-Case Modeling Guide for Target System 1/32 Table of Contents 1 Scope...4 2 Overview of D-Case and SysML Modeling Guide...4 2.1 Background and Purpose...4 2.2 Target System of Modeling Guide...5 2.3 Constitution

More information

REAL. Simple, Intuitive, Powerful Real.

REAL. Simple, Intuitive, Powerful Real. REAL Simple, Intuitive, Powerful Real. When we set out to define the bikefitting.com toolset engineered by Shimano Dynamics Lab, these were a few of the stand out priorities we wanted to achieve. We delivered.

More information

Physical Design of CMOS Integrated Circuits

Physical Design of CMOS Integrated Circuits Physical Design of CMOS Integrated Circuits Dae Hyun Kim EECS Washington State University References John P. Uyemura, Introduction to VLSI Circuits and Systems, 2002. Chapter 5 Goal Understand how to physically

More information

Modeling of Hydraulic Hose Paths

Modeling of Hydraulic Hose Paths Mechanical Engineering Conference Presentations, Papers, and Proceedings Mechanical Engineering 9-2002 Modeling of Hydraulic Hose Paths Kurt A. Chipperfield Iowa State University Judy M. Vance Iowa State

More information

REASONS FOR THE DEVELOPMENT

REASONS FOR THE DEVELOPMENT 7 Series 7 Series +24VDC VDC OUTPUT MICROPROCESS. E P IN EXH OUT 7 Series 7 ø,8 8 7 Series 9 5 8 9 7 Series Display features The proportional regulator has a 3 /2 digit display and a three-pushbutton

More information

A Methodology for Using Traffic Generators with Real-Time Constraints. Avinash Mehta Sr. Research and Development Engineer Synopsys India Pvt. Ltd.

A Methodology for Using Traffic Generators with Real-Time Constraints. Avinash Mehta Sr. Research and Development Engineer Synopsys India Pvt. Ltd. A Methodology for Using Traffic Generators with Real-Time Constraints Avinash Mehta Sr. Research and Development Engineer Synopsys India Pvt. Ltd. 1 Agenda Introduction Real time traffic challenges Proposed

More information

ZIPWAKE DYNAMIC TRIM CONTROL SYSTEM OUTLINE OF OPERATING PRINCIPLES BEHIND THE AUTOMATIC MOTION CONTROL FEATURES

ZIPWAKE DYNAMIC TRIM CONTROL SYSTEM OUTLINE OF OPERATING PRINCIPLES BEHIND THE AUTOMATIC MOTION CONTROL FEATURES ZIPWAKE DYNAMIC TRIM CONTROL SYSTEM OUTLINE OF OPERATING PRINCIPLES BEHIND THE AUTOMATIC MOTION CONTROL FEATURES TABLE OF CONTENTS 1 INTRODUCTION 3 2 SYSTEM COMPONENTS 3 3 PITCH AND ROLL ANGLES 4 4 AUTOMATIC

More information

Software Engineering. M Umair.

Software Engineering. M Umair. Software Engineering M Umair www.m-umair.com Advantages of Agile Change is embraced With shorter planning cycles, it s easy to accommodate and accept changes at any time during the project. There is always

More information

A4s Operation Manual

A4s Operation Manual A4s Operation Manual Safety Instruction Please read this manual carefully, also with related manual for the machinery before use the controller. For installing and operating the controller properly and

More information

Fast Floating Point Compression on the Cell BE Processor

Fast Floating Point Compression on the Cell BE Processor Fast Floating Point Compression on the Cell BE Processor Ajith Padyana, T.V. Siva Kumar, P.K.Baruah Sri Satya Sai University Prasanthi Nilayam - 515134 Andhra Pradhesh, India ajith.padyana@gmail.com, tvsivakumar@gmail.com,

More information

Sontek RiverSurveyor Test Plan Prepared by David S. Mueller, OSW February 20, 2004

Sontek RiverSurveyor Test Plan Prepared by David S. Mueller, OSW February 20, 2004 Sontek RiverSurveyor Test Plan Prepared by David S. Mueller, OSW February 20, 2004 INTRODUCTION Sontek/YSI has introduced new firmware and software for their RiverSurveyor product line. Firmware changes

More information

ZIN Technologies PHi Engineering Support. PHi-RPT CFD Analysis of Large Bubble Mixing. June 26, 2006

ZIN Technologies PHi Engineering Support. PHi-RPT CFD Analysis of Large Bubble Mixing. June 26, 2006 ZIN Technologies PHi Engineering Support PHi-RPT-0002 CFD Analysis of Large Bubble Mixing Proprietary ZIN Technologies, Inc. For nearly five decades, ZIN Technologies has provided integrated products and

More information

Implementation of Height Measurement System Based on Pressure Sensor BMP085

Implementation of Height Measurement System Based on Pressure Sensor BMP085 017 nd International Conference on Test, Measurement and Computational Method (TMCM 017) ISBN: 978-1-60595-465-3 Implementation of Height Measurement System Based on Pressure Sensor BMP085 Gao-ping LIU

More information

AMS 6916 Board mount pressure sensor with ratiometric analog output

AMS 6916 Board mount pressure sensor with ratiometric analog output FEATURES Piezoresistive pressure sensor with amplified analog output Calibrated and temperature compensated Ratiometric voltage output, 0.5 4.5 V Digital signal conditioning, 12 bit output resolution Differential,

More information

SoundCast Design Intro

SoundCast Design Intro SoundCast Design Intro Basic Design SoundCast and Daysim 3 Land use attributes Households & Individuals SoundCast DaySim Travel demand simulator Trips and Households, Excel Summary Sheets, EMME network

More information

GUIDE TO RUNNING A BIKE SHARE. h o w t o p l a n a n d o p e r a t e a s u c c e s s f u l b i k e s h a r e p r o g r a m

GUIDE TO RUNNING A BIKE SHARE. h o w t o p l a n a n d o p e r a t e a s u c c e s s f u l b i k e s h a r e p r o g r a m GUIDE TO RUNNING A BIKE SHARE h o w t o p l a n a n d o p e r a t e a s u c c e s s f u l b i k e s h a r e p r o g r a m 20150209 The bicycle is the most loved form of transportation. No other machine

More information

Queue analysis for the toll station of the Öresund fixed link. Pontus Matstoms *

Queue analysis for the toll station of the Öresund fixed link. Pontus Matstoms * Queue analysis for the toll station of the Öresund fixed link Pontus Matstoms * Abstract A new simulation model for queue and capacity analysis of a toll station is presented. The model and its software

More information

Software for electronic scorekeeping of volleyball matches, developed and distributed by:

Software for electronic scorekeeping of volleyball matches, developed and distributed by: Software for electronic scorekeeping of volleyball matches, developed and distributed by: Developed for the rules of USports 2017-18 As adopted by Ontario University Athletics for Men s & Women s Volleyball

More information

Safety Manual. Process pressure transmitter IPT-1* 4 20 ma/hart. Process pressure transmitter IPT-1*

Safety Manual. Process pressure transmitter IPT-1* 4 20 ma/hart. Process pressure transmitter IPT-1* Safety Manual Process pressure transmitter IPT-1* 4 20 ma/hart Process pressure transmitter IPT-1* Contents Contents 1 Functional safety 1.1 General information... 3 1.2 Planning... 4 1.3 Instrument parameter

More information

A4 Operation Manual. Fig.1-1 Controller Socket Diagram

A4 Operation Manual. Fig.1-1 Controller Socket Diagram A4 Operation Manual Safety Instruction Please read this manual carefully, also with related manual for the machinery before use the controller. For installing and operating the controller properly and

More information

Purpose. Scope. Process flow OPERATING PROCEDURE 07: HAZARD LOG MANAGEMENT

Purpose. Scope. Process flow OPERATING PROCEDURE 07: HAZARD LOG MANAGEMENT SYDNEY TRAINS SAFETY MANAGEMENT SYSTEM OPERATING PROCEDURE 07: HAZARD LOG MANAGEMENT Purpose Scope Process flow This operating procedure supports SMS-07-SP-3067 Manage Safety Change and establishes the

More information

Connect with Confidence NO POWER NO PROBLEM

Connect with Confidence NO POWER NO PROBLEM Connect with Confidence NO POWER NO PROBLEM The ideal solution to implement wireless sensor monitoring in IoT applications where power is not available. At last, there s a roll-out ready way to implement

More information

C. Mokkapati 1 A PRACTICAL RISK AND SAFETY ASSESSMENT METHODOLOGY FOR SAFETY- CRITICAL SYSTEMS

C. Mokkapati 1 A PRACTICAL RISK AND SAFETY ASSESSMENT METHODOLOGY FOR SAFETY- CRITICAL SYSTEMS C. Mokkapati 1 A PRACTICAL RISK AND SAFETY ASSESSMENT METHODOLOGY FOR SAFETY- CRITICAL SYSTEMS Chinnarao Mokkapati Ansaldo Signal Union Switch & Signal Inc. 1000 Technology Drive Pittsburgh, PA 15219 Abstract

More information

ProChek, A COMPREHENSIVE FABRICATION PROCESS MISMATCH AND RELIABILITY CHARACTERIZATION TOOL

ProChek, A COMPREHENSIVE FABRICATION PROCESS MISMATCH AND RELIABILITY CHARACTERIZATION TOOL Vendor: Ridgetop Group, Inc. Office Address: 3580 West Ina Road, Tucson, Arizona 85741 Phone: 520-742-3300; Fax: 520-544-3180 Technical Contact: Esko Mikkola, Ph.D., Project Manager Phone: 520-742-3300

More information

Instrument pucks. Copyright MBARI Michael Risi SIAM design review November 17, 2003

Instrument pucks. Copyright MBARI Michael Risi SIAM design review November 17, 2003 Instrument pucks Michael Risi SIAM design review November 17, 2003 Instrument pucks Pucks and Plug-and-Work The MBARI puck prototype Puck software interface Pucks in practice (A Puck s Tale) Embedding

More information

Blocking time reduction for level crossings using the genetic algorithm

Blocking time reduction for level crossings using the genetic algorithm Computers in Railways X 299 Blocking time reduction for level crossings using the genetic algorithm Y. Noguchi 1, H. Mochizuki 1, S. Takahashi 1, H. Nakamura 1, S. Kaneko 1 & M. Sakai 2 1 Nihon University,

More information

S-CO 2 Brayton Recompression Loop Design and Control

S-CO 2 Brayton Recompression Loop Design and Control S-CO 2 Brayton Recompression Loop Design and Control 1) Background 2) Recommended Design Features 3) Modeling Strategy IST Model Changes Transient Results Prepared by: Mike Hexemer Advanced Concepts Knolls

More information

Author s Name Name of the Paper Session. Positioning Committee. Marine Technology Society. DYNAMIC POSITIONING CONFERENCE September 18-19, 2001

Author s Name Name of the Paper Session. Positioning Committee. Marine Technology Society. DYNAMIC POSITIONING CONFERENCE September 18-19, 2001 Author s Name Name of the Paper Session PDynamic Positioning Committee Marine Technology Society DYNAMIC POSITIONING CONFERENCE September 18-19, 2001 POWER PLANT SESSION A New Concept for Fuel Tight DP

More information

HumiSys HF High Flow RH Generator

HumiSys HF High Flow RH Generator HumiSys HF High Flow RH Generator Designed, built, and supported by InstruQuest Inc. Versatile Relative Humidity Generation and Multi-Sensor System The HumiSys HF is a high flow version of the previously

More information

Matrix-based software test data decompression for systems-on-a-chip

Matrix-based software test data decompression for systems-on-a-chip Journal of Systems Architecture 50 (2004) 247 256 www.elsevier.com/locate/sysarc Matrix-based software test data decompression for systems-on-a-chip Kedarnath Balakrishnan, Nur A. Touba * Computer Engineering

More information

The Safety Case. The safety case

The Safety Case. The safety case The Safety Case Structure of safety cases Safety argument notation Budapest University of Technology and Economics Department of Measurement and Information Systems The safety case Definition (core): The

More information

Neural Network in Computer Vision for RoboCup Middle Size League

Neural Network in Computer Vision for RoboCup Middle Size League Journal of Software Engineering and Applications, 2016, *,** Neural Network in Computer Vision for RoboCup Middle Size League Paulo Rogério de Almeida Ribeiro 1, Gil Lopes 1, Fernando Ribeiro 1 1 Department

More information

ACCURATE PRESSURE MEASUREMENT FOR STEAM TURBINE PERFORMANCE TESTING

ACCURATE PRESSURE MEASUREMENT FOR STEAM TURBINE PERFORMANCE TESTING ACCURATE PRESSURE MEASUREMENT FOR STEAM TURBINE PERFORMANCE TESTING Blair Chalpin Charles A. Matthews Mechanical Design Engineer Product Support Manager Scanivalve Corp Scanivalve Corp Liberty Lake, WA

More information

Open Research Online The Open University s repository of research publications and other research outputs

Open Research Online The Open University s repository of research publications and other research outputs Open Research Online The Open University s repository of research publications and other research outputs Developing an intelligent table tennis umpiring system Conference or Workshop Item How to cite:

More information

Accellera Systems Initiative SystemC Standards Update

Accellera Systems Initiative SystemC Standards Update Accellera Systems Initiative SystemC Standards Update Martin Barnasconi (NXP), Philipp A. Hartmann (Intel), Stephan Schulz (Fraunhofer) Accellera Systems Initiative Presentation Overview Accellera Overview

More information

OIL & GAS. 20th APPLICATION REPORT. SOLUTIONS for FLUID MOVEMENT, MEASUREMENT & CONTAINMENT. Q&A: OPEC Responds to SHALE BOOM

OIL & GAS. 20th APPLICATION REPORT. SOLUTIONS for FLUID MOVEMENT, MEASUREMENT & CONTAINMENT. Q&A: OPEC Responds to SHALE BOOM Process VARIABILITY & Equipment RELIABILITY Are PROCESS SAFETY & CYBERSECURITY Related? Q&A: OPEC Responds to SHALE BOOM 20th 1995-2015 SOLUTIONS for FLUID MOVEMENT, MEASUREMENT & CONTAINMENT special section

More information

OBJECTIFICATION TECHNOLOGY OF PERCEIVED SAFETY & COMFORT DURING ASSISTED DRIVING

OBJECTIFICATION TECHNOLOGY OF PERCEIVED SAFETY & COMFORT DURING ASSISTED DRIVING OBJECTIFICATION TECHNOLOGY OF PERCEIVED SAFETY & COMFORT DURING ASSISTED DRIVING Erich Ramschak Dr. Jürgen Holzinger Erik Bogner AVL List GmbH erich.ramschak@avl.com ConCar-Forum Berlin 5.-6.July 2017

More information

#19 MONITORING AND PREDICTING PEDESTRIAN BEHAVIOR USING TRAFFIC CAMERAS

#19 MONITORING AND PREDICTING PEDESTRIAN BEHAVIOR USING TRAFFIC CAMERAS #19 MONITORING AND PREDICTING PEDESTRIAN BEHAVIOR USING TRAFFIC CAMERAS Final Research Report Luis E. Navarro-Serment, Ph.D. The Robotics Institute Carnegie Mellon University November 25, 2018. Disclaimer

More information

Understanding the How, Why, and What of a Safety Integrity Level (SIL)

Understanding the How, Why, and What of a Safety Integrity Level (SIL) Understanding the How, Why, and What of a Safety Integrity Level (SIL) Audio is provided via internet. Please enable your speaker (in all places) and mute your microphone. Understanding the How, Why, and

More information

Questions & Answers About the Operate within Operate within IROLs Standard

Questions & Answers About the Operate within Operate within IROLs Standard Index: Introduction to Standard...3 Expansion on Definitions...5 Questions and Answers...9 Who needs to comply with this standard?...9 When does compliance with this standard start?...10 For a System Operator

More information

unsignalized signalized isolated coordinated Intersections roundabouts Highway Capacity Manual level of service control delay

unsignalized signalized isolated coordinated Intersections roundabouts Highway Capacity Manual level of service control delay Whether unsignalized or signalized, isolated or coordinated, you can use TransModeler to simulate intersections with greater detail and accuracy than any other microsimulation software. TransModeler allows

More information

IDeA Competition Report. Electronic Swimming Coach (ESC) for. Athletes who are Visually Impaired

IDeA Competition Report. Electronic Swimming Coach (ESC) for. Athletes who are Visually Impaired IDeA Competition Report Electronic Swimming Coach (ESC) for Athletes who are Visually Impaired Project Carried Out Under: The Department of Systems and Computer Engineering Carleton University Supervisor

More information

CS 341 Computer Architecture and Organization. Lecturer: Bob Wilson Cell Phone: or

CS 341 Computer Architecture and Organization. Lecturer: Bob Wilson Cell Phone: or CS 341 Computer Architecture and Organization Lecturer: Bob Wilson Cell Phone: 508-577-9895 Email: robert.wilson@umb.edu or bobw@cs.umb.edu 1 Welcome to CS341 This course teaches computer architecture

More information