TKT-2431 Soc Design. Lec 11 Energy consumption. Department of Computer Systems. Tampere University of Technology

Size: px
Start display at page:

Download "TKT-2431 Soc Design. Lec 11 Energy consumption. Department of Computer Systems. Tampere University of Technology"

Transcription

1 TKT-2431 Soc Design Lec 11 Energy consumption Erno Salminen Tampere University of Technology Fall 2012 Remember the guest lecture Mon Erno Salminen - Nov. 2012

2 Contents Power consumption breakdown Low-power design at system level Dynamic power management (DPM) Clock gating, power supple shutdown Dynamic voltage/frequency scaling Low-power operating modes Prediction methods #2/67 Erno Salminen - Nov. 2012

3 Copyright notice Part of the slides S. Dey, VLSI Advanced Topics, course material, UCSD Part of figures from L. Benini, A. Bogliolo, G. De Micheli, A Survey of Design Techniques for System-Level Dynamic Power Management, TVLSI, Vol. 8, No. 3, June 2000, pp #3/67 Erno Salminen - Nov. 2012

4 At first Make sure that simple things work before even trying more complex ones You should believe this by now... #4/67 Erno Salminen - Nov. 2012

5 Descriptive citation (one of many ) The power problem is the No. 1 issue in the long-term for computing. It's time for us to stop making 6-mileper-gallon gas guzzlers. [ ] Now you're going to see the great unmarketing of megahertz because it doesn't matter anymore.'' Greg Papadopoulos, Chief Technology Officer for Sun Microsystems, Chip Makers Feel Heat to Solve Power Problem, San Jose Mercury News, July 2nd 2004 #5/67 Erno Salminen - Nov. 2012

6 The big 4 (reasons) asd PS. ICT accounts ~2% of world electricity consumption Some researchers say that this problem is exaggerated, but I did ot find a good reference on short notice - ES S. Dey, Design of Low-Power, Battery-Efficient Systems, ECE206C course material, UCSD, #6/67 Erno Salminen - Nov. 2012

7 Trends (1): Batteries do get better But batteries evolve at much lower rate than other parts Fig: [John Hockenberry, Building a better battery, Wired, iss , Nov 2006] HD CPU battery #7/67 Erno Salminen - Nov. 2012

8 Trends (2) : Cooling cost reaches computer cost in datacenters [G. Lawton, Powering Down the Computing Infrastructure, Computer, Vol. 40, Iss. 2, Feb. 2007, pp ] #8/67 Erno Salminen - Nov. 2012

9 Power consumption breakdown Erno Salminen - Nov. 2012

10 Examples A large and increasing number of devices are battery driven Desktop PCs ( W) Datacenter (25MW) Rack server (5-10kW) W S. Dey, Design of Low-Power, Battery-Efficient Systems, ECE206C course material, UCSD, #10/67 Erno Salminen - Nov. 2012

11 Power breakdown: laptop S. Dey, Design of Low-Power, Battery- Efficient Systems, ECE206C course material, UCSD, J. Faludi, Green Computing Update, Part 2: Components, WorldChanging.com, 16 Nov 07 #11/67 Erno Salminen - Nov. 2012

12 Example high-end SoC 16 SPARC cores, 6MB L2 cache 40nm, 11 Cu metals, 377 mm 2, 1G transistors GHz, 120W 1284 power pins on the chip! 6 clock domains 7 power domains [J.L, Shin et al., A 40 nm 16- Core 128-Thread SPARC SoC Processor, IEEE Journal of Solid-State Circuits, Vol.46, Iss. 1, 2011, pp ] Power per block Dynamic power per circuit element #12/67 Erno Salminen - Nov. 2012

13 Sources of power consumption Dynamic power dominates in logic Leakage power dominates in memory Small activity Dynamic: access one 32b word at a time in 32MB memory Leakage: in all other 32MB-4B mem cells Also in devices that are mostly in stand-by, e.g. cell phones Different methods must be applied Claude Schmitt, Panels discussion It's About Power - Performance and area alone don't quite cut it anymore!, DATE 2/14/2005. #13/67 Erno Salminen - Nov. 2012

14 Memory power dominates in small devices Figure: [Verma, N.;, "Analysis Towards Minimization of Total SRAM Energy Over Active and Idle Operating Modes," TVLSI, vol.19, no.9, pp , Sept. 2011]. Citiations: [1] J. Kwong,et al. I A 65nm subvt n IEEE Int. Solid-State Circuits Conf. Dig. Tech. Papers, Feb. 2008, pp [2] V. Georgeet al. Penryn: 45-nm in Proc. IEEE Asian Solid-State Circuits Conf., Nov. 2007, pp #14/67 Erno Salminen - Nov. 2012

15 Power consumption in CMOS (1) Two measures Peak power consumption Average power consumption Usually more interesting than peak power However, large peaks degrade battery life-time and cause electronmigration Pavg = Pdynamic + Pshort + Pleakage i dyn i short V in V out C out i short a) Dynamic b) Short circuit c) Leakage W. Burleson, ECE 679V, course material, 2002, ure3.ppt #15/67 Erno Salminen - Nov. 2012

16 Power consumption in CMOS (2) P dynamic has been dominant in CMOS (~50-90%) Leakage power likely has increased with smaller geometries! E.g. P short + P leakage = but 65nm P dynamic = K * C out * V dd2 * f K = avg transitions on node per clock cycle C out = driven output capacitance of node V dd = supply voltage f = operating frequency HUOM! OBS! Muy importante! Faulty circuits, may have also P static E.g. there is DC from Vdd to GND, if gate of PMOS is stuck-at-zero #16/67 Erno Salminen - Nov. 2012

17 Reducing dynamic power Minimize P dynamic = K * C out * V dd2 * f Hence, minimize 1. activity K 2. the amount of logic (capacitance) Cout 3. supply voltage Vdd quadratical impact! 4. frequency f aim for just fast enough 5. combination of the above Parameters are coupled E.g. high f, requires large Vdd Parallel processing may increase C but lowers f and hopefully Vdd #17/67 Erno Salminen - Nov. 2012

18 Capacitance and switching minimization Minimize K, i.e. useless switching K depends on input sequence Disable new values from entering the logic when results are not needed C out = C fo + C w + C p C fo = input capacitances of fan-out gates (~50%) C w = wiring capacitance (~40%, increases with new technologies), hard to estimate before placement C p = parasitic capacitance of driving gate itself (~ 10%) No need to minimize C if it is rarely switched C eff = K * C out, effective capacitance C out might increase when C eff minimized in restructuring Still beneficial #18/67 Erno Salminen - Nov. 2012

19 Minimizing Vdd in kind of tempting Designer can rarely change the voltage freely,there are e.g. 3-4 choices, not continous scaling Decreasing V dd, increases delay of transistors (=t p ) Decreasing V dd reduces noise margin Inverter delay t p 4 t p (normalized) V (V) DD Fig1: [J.M. Rabaey, A. Chandrakasan, B. Nikolic, slide set for book Digital Integrated Circuits A Design Perspective,2002, ] Fig2: [A. Sasan et al."variation Trained Drowsy Cache (VTD-Cache): A History Trained Variation Aware Drowsy Cache for Fine Grain Voltage Scaling," TVLSIvol.20, no.4, pp , April 2012 #19/67 Erno Salminen - Nov. 2012

20 Voltage vs. Frequency vs. Power Implementing low-power configurable processors - practical options and tradeoffs, Wei, J.; Rowen, C.; Design Automation Conference, Proceedings. 42 nd,13-17 June 2005 Page(s): #20/67 Erno Salminen - Nov. 2012

21 Power vs. energy HUOM! OBS! Batteries store energy not power Power measures rate of energy consumption Muy importante! Energy E = P * t saving is often the real goal! Decreasing f, increases t Frequency scaling alone does not decrease energy Execution time t is usually constrained S. Dey, Design of Low-Power, Battery-Efficient Systems, ECE206C course material, UCSD, #21/67 Erno Salminen - Nov. 2012

22 PDP and EDP Power-Delay Product PDP = P * t avg. energy consumed per switching event Watt*sec = Joule Energy-Delay Product EDP = PDP*t avg. energy multiplied by execution time These metrics account the trade-off between increased delay and lower energy/operation Different mininum points Normalized value #22/67 Erno Salminen - Nov min Supply voltage [V] min energy*delay power*delay power delay

23 Low-power design at system level Erno Salminen - Nov. 2012

24 Importance of design level abstraction level Applies to all design decision not just power Level People System designers Front-end ASIC designers Back-end ASIC designers effect Alexander Worm, Algorithm Manipulation for Low-Power Communication Circuit Implementation, Tampere SoC, Nov Who copied the figure from: #24/67 Erno Salminen - Nov. 2012

25 [ #25/67 Erno Salminen - Nov. 2012

26 More power reduction methods asd Importance in future - -/ /+ + Barry Pangrle, Panels discussion It's About Power - Performance and area alone don't quite cut it anymore!, DATE 2/14/2005. #26/67 Erno Salminen - Nov. 2012

27 System-level: Choose: the right implementation style Point solutions are of course most efficient w.r.t to power, but offer reduced flexibility Large differences: 9x-1075x! Pay attention to ratio P active /P idle Poor ratio (small) in general-purpose devices Is there any more worthless thing that burning power for doing nothing? Power consumption in various applications Device Unit MP3 Web notes messaging Ratios idle rcv reply speaker headphone browse text audio text audio max/min min/idle max/idle laptop [W] handheld [W] cellphone [mw] pager [mw] high-end MP3 [mw] low-end MP3 [mw] voide recorder [mw] ratio = laptop/min Table: [Mayo, Ranganathan, Energy consumption in mobile devices..., HPL , 2003] #27/67 Erno Salminen - Nov. 2012

28 System level: Choose the right digital architecture (1) MIPS/mW Flexibility MOPS/mW MOPS/mW Prog Mem MAC Unit mp Addr Gen DSP (TI C6xxx) Embedded Processor (IpArm) Embedded FPGA Reconfigurable Processors (Maia) Factor of Direct Mapped hardware Power Dissipation Gary Kelson, BWRC Overview, June #28/67 Erno Salminen - Nov. 2012

29 System level: Choose the right digital architecture (2) [Jan Rabaey, System-on-a-chip: A case for heterogeneous architecture, Tampere Soc, 1999]. #29/67 Erno Salminen - Nov. 2012

30 Will new technologies minimize heat? What next? [H. Harrer, G.A. Katopis, G.A.; Becker, W., From chips to systems via packaging - A comparison of IBM's mainframe servers, IEEE circuits and systems, Vol. 6, Iss. 4, 2006, pp ] #30/67 Erno Salminen - Nov. 2012

31 Methods for dynamic power management Erno Salminen - Nov. 2012

32 Dynamic power managements (DPM) Configures electronic systems at run-time to provide required performance with minimal activity Applicable if components experience non-uniform workload Predictable periods of activity and idleness e.g. simple timeout policy in laptops shuts down components if they have been idle for certain period Power manageable component (PMC) has two or more modes of operation a) High performance and power consumption b) Low performance and power Usually the number of modes very limited to handful #32/67 Erno Salminen - Nov. 2012

33 Full speed is not required all the time Running at full speed wastes energy The workload is NOT constant But hard to forecast at design-time Adapt dynamically [L.A. Barroso, U. Holzle, The Case for Energy-Proportional Computing, Computer, Vol. 40, Iss. 12, 2007, pp ] #33/67 Erno Salminen - Nov. 2012

34 Full speed power is not required all the time (2) Current servers do use dynamic management Efficiency is far from ideal Energy seems to be way too cheap Wasted power Ideal power #34/67 Erno Salminen - Nov. 2012

35 Power control unit Determines when FU is shut down Rule of thumb: pow_ctrl area < 1/10*FU area Power consumption of power control must be smaller than resulting savings Either shut down the Clk and/or V dd State is lost, if V dd shut down Shutdown and recovery have nonnegligible delays Shutting down is not beneficial if sleep state is short Hard to determine to optimal timing Performance loss due to recovery time Power ctrl a) Power supply shut-down Power ctrl latch clk_disable Clk b) Clock gating principle #35/67 Erno Salminen - Nov. 2012

36 Power supply shutdown Cut of power supply with switch Removes also P leakage Switch has non-ideal delay T and resistance R Physical placement and layout important due to transient noise Volatile memories (RAM, flip-flops) lose their state Must be saved elsewhere and restored Longer shutdown/wakeup delays Utilized in coarse-grain not with small units inside the chip #36/67 Erno Salminen - Nov. 2012

37 Isolating the shutdown units Isolate the sleeping unit from its neighbors Drive control and status signals into inactive state E.g. sleeping FIFO appears full and other won t try to write data to it At the same time, sleeping FIFO appears empty and other cannot read from it Sometimes all ouput signals must be frozen during sleep (even if power is cut) active isolation ring active PRODUCER full data FIFO 1 (sleeping) 0 data valid CONSUMER we re Vdd enable= 0 power ctrl #37/67 Erno Salminen - Nov. 2012

38 Power supply shutdown example as #38/67 Erno Salminen - Nov. 2012

39 Clock gating Clock of FU is shut down Needs latch and AND gate to avoid glitches Adds clock skew Input values do not propagate through input registers No switching inside FU Relatively simple and low overhead control Automatic clock gating supported in CAD tools Small grained control, even at the level of a couple of DFF s #39/67 Erno Salminen - Nov. 2012

40 Clock gating (2) Well suited for self-managed components Clock distribution network itself consumes energy Highly active (K=1) Large net = large capacitance Stop master clock PLL or oscillator However, most energy consumed by local clocks GALS approach may help since large global clock network may be splitted into several small clock networks #40/67 Erno Salminen - Nov. 2012

41 Clock tree power 30% of the total power is attributed to clock in Pentium Most of the clock power is used in the final clock buffers and flip-flops Larger fraction in clock tree itself in (mobile) SoCs with P_tot around few Watts Long clk wires, lots of clk buffers, low-power logic Try to gate the clock as high as possbile Cluster the logic blocks together depending how their clk is gated Self-managed low-level clk gating happens here Power breakdown in Pentium: Explcit system-level clk gating happens here and shuts down larger fraction of the chip S. Rusu, Tampere Soc 2004 who copied this from So called H-tree clock distribution. Clock skew is balanced with matched wire lengths and multiple buffers #41/67 Erno Salminen - Nov. 2012

42 Reducing clock network power as high as possible in clk tree S. Rusu, Tampere Soc In GALS, all local clocks can be optimized separately #42/67 Erno Salminen - Nov. 2012

43 Orig DFS =f/2 Dynamic Frequency/Voltage-Freq Scaling (DFS / DVFS) DFS : frequency is changed at runtime DVFS : both frequency and voltage are changed at runtime Computation takes only 8 cycles and deadline in 16 cycles It seems that DFS brings not benefit E(orig) =t * P E(DFS)= 2t * P/2 = E(orig) DVFS =f/2 =0.71*Vdd time E(DVFS) = 2t * P/4 = E(orig)/2 #43/67 Erno Salminen - Nov. 2012

44 DFS/DVFS: Idle power is not zero! Total energy = active + idle energy Idling takes power also, especially in CPUs However, idle period might be too short for sleeping Lower frequency reduces idle period after computation lower energy also with DFS P(idle) > 0 W P (act) P (idle) Cycle time # Cycles (act) # Cycles (idle) Energy (act) Energy (idle) Energy (tot) Saving % Orig DFS DVS #44/67 Erno Salminen - Nov. 2012

45 Logic islands Large chip is divided into so called islands or domains They are regions where all the logic has something in similar Three basic island types 1. Same clock source and control (dozens such islands in a complex SoC) 2. Same power gating control (~dozen) 3. Same supply voltage (handful) E.g. I/O 3.3V, CPU 1.1V, on-chip mem. 1V Domain crossing always needs special care! #45/67 Erno Salminen - Nov. 2012

46 Controlling DPM Erno Salminen - Nov. 2012

47 Power-managed systems Observer collects workload information Controller forces transitions between power states Centralized control is prblematic in large systems Some units are self-managed and some need a system-level controller #47/67 Erno Salminen - Nov. 2012

48 Power state machine (PSM) PSM models the possible operating states of a SoC as well as the costs of transitions and states Designers use it to analyze the operation and to design the policy for power management Low power states have lower performance longer transition latency #48/67 Erno Salminen - Nov. 2012

49 Power state machine (2) Strong ARM SA-1100 (cf. fig 1) can be modeled with FSM below Transition RUN IDLE is so fast that Greedy policy applicable they can be combined into single state ON (selfmanaged with greedy policy) P ON is weighted sum of P RUN adn P IDLE OFF coresponds to state Sleep #49/67 Erno Salminen - Nov. 2012

50 Controlling power state transitions Trivial greedy policy can be used, if transitions are instantaneous and consume no power Not realistic assumption Returning from power-down mode requires 1. turning on and stabilizing power supply 2. reinitializing system 3. restoring context non-negligible delay and energy Tolerated performance degradation must be explicitly stated, e.g. no more than 5% Max power saving when device is not designed at all. However, performance loss is 100%... #50/67 Erno Salminen - Nov. 2012

51 Break-even time (1) No computation possible during state transition performance loss Break-even time T BE for inactive state is the minimum inactivity time required to compensate the cost of state transition(s) Cost depends on transition times and power comsumption(s) If inactive time T n < T BE, it is not beneficial to enter inactive state because cost is not compensated #51/67 Erno Salminen - Nov. 2012

52 Break-even time (2) In simple case, T BE is sum of time for entering state and exiting state Assuming that state transition does not increase power consumption (like it does with hard-drives) Multiple power states result in multiple breakeven time values #52/67 Erno Salminen - Nov. 2012

53 Applicability of DPM One can calculate the max power saving P saved,max = P on P ideal where P ideal refers to P with ideal DPM States with small T BE are more likely applicable, e.g. Strong-ARM T BE,idle = 0.02 ms T BE,sleep = ms Idle state can be entered much more often Workload T BE,idle / 2 Power with Idle Power with Sleep Time Fig. Example of ideal DPM policy (workload known a priori, and hence wakeups always on time and no performance loss) #53/67 Erno Salminen - Nov. 2012

54 Applicability of DPM (2) Workload In most cases, workload is not known a priori and DPM reacts to the changes Sometimes, workload is known, e.g. sampling sensor values once per second and processing them Power with Idle, ideal DPM Power with Idle, real DPM Entering idle state gets delayed until utilization drops below threshold Start waking up when computation is needed. Processing gets delayed Fig. Difference between ideal and realistic DPM policies #54/67 Erno Salminen - Nov. 2012

55 Prediction In real world, little information (or not at all) is availbale about future inputs Must predict Overprediction/Underprediction Predicted idle period longer/shorter than actually Overprediction causes performance loss Not enough time for wakeup Underprediction consumes unnecessary power Low power mode not entered always #55/67 Erno Salminen - Nov. 2012

56 Prediction methods a) Fixed timeout : When elapsed idle time longer than threshold, enter low-power mode Big threshold increases performance and power Waste power when waiting for timeout Performance loss upon wakeup b) Predictive shutdown Predict idle time from duration past idle and active periods No automatic way to decide regression equation Offline data collection required Predict idle time from last active period Short active periods are usually followed by long idle periods Offline data required #56/67 Erno Salminen - Nov. 2012

57 Prediction methods (2) c) Predictive wakeup To reduce performance loss When elapsed time in low-power mode longer than threshold, start wakeup procedure Increases power in idle period longer than predicted d) Adaptive methods change threshold at runtime E.g. use several timeout values and measure how well they perform #57/67 Erno Salminen - Nov. 2012

58 Selecting T BE in fixed timeout Psaved (mw) Interactive programs (e.g. games) have shorter idle periods With high breakeven time, lowpower mode seldom used Plot of P (T ) for the Sleep state of the StrongARM SA-1100 processor. The three curves refer to three different workload statistics, computed from real-world CPU traces provided by the IPM monitoring package [5]. #58/67 Erno Salminen - Nov. 2012

59 Safety vs efficiency Safety means probability of avoiding performance loss there s always some loss Efficiency means proportion of achieved power saving from ideal saving Quality of a timeout-based predictor evaluated as a function of timer duration. Safety and efficiency of the timeout used to predict idle periods longer than T=160 ms. #59/67 Erno Salminen - Nov. 2012

60 Predictive shutdown Example threshold (i.e active periods shorter than this are likely followed by long idle time) Next L-shape is necessary condition for prediction Fig. 7. (a) Scatter plot of T versus T for the workload of the CPU of a personal computer running Linux. #60/67 Erno Salminen - Nov. 2012

61 Conclusion Power saving does not necessarily save energy Basic methods Low-power technology, signal reordering Minor effect, not interesting Voltage scaling, power shutdown Diffcult, voltage levels CANNOT be freely chosen Frequency scaling Must not sacrifice performance too much Does not affect energy/task, if used alone Clock gating, enabled flip-flops Reasonable way for energy saving Supported by CAD tools #61/67 Erno Salminen - Nov. 2012

62 Conclusion (2) Several power/performance modes needed Modes have different break-even times Policy defines the current operating mode Static Adaptive Policy decisions based on off-line data monitoring #62/67 Erno Salminen - Nov. 2012

63 Extra Erno Salminen - Nov. 2012

64 Power breakdown: Imagine stream processing chip Smart memory hierarchy: memories ~21% Mattan Erez, Stream Architectures Programmability and Efficiency, Tampere SoC, Nov #64/67 Erno Salminen - Nov. 2012

65 Reminder: opt for locality compare Mattan Erez, Stream Architectures Programmability and Efficiency, Tampere SoC, Nov #65/67 Erno Salminen - Nov. 2012

66 Glitch Minimization Low-level technique Glitches may add 20% to power [Raghunathan, DAC96] Raghunathan et al. suggest RTL modifications to decrease glitches Stop glitch propagation (e.g. with registers) Glitch generation (due to uneven gate delays) not considered Important to avoid glitches in control signals #66/67 Erno Salminen - Nov. 2012

67 Power reduction methods Implementing low-power configurable processors - practical options and tradeoffs, Wei, J.; Rowen, C.; Design Automation Conference, Proceedings. 42 nd,13-17 June 2005 Page(s): #67/67 Erno Salminen - Nov. 2012

68 Shutting down units Functional unit (FU) Unit is idle when its output values are not needed Hence, it can be shut-down a) External idleness changes in units output are not visible in system outputs Ouput of ADD is don t care ADD doesn t know that by itself b) Internal idleness units output do not change even if units inputs change State-holding required Not practical to detect all idle conditions Too large overhead Detect most common ADD SUB 1 or 2 a) ADD is externally idle Functional unit (FU) ADD SUB #68/67 Erno Salminen - Nov b) FU is internally idle

69 #69/67 Erno Salminen - Nov. 2012

70 Stochastic methods Take into account uncertainty in workload, power consumption, and reponse times many power states, buffers, queues etc. Offer contolled trade-off between performance and power Controlled Markov chains Service requester (SR) models workload Service provider (SP) model power modes Power manager implements commands for SP Cost metrics combines power and performance #70/67 Erno Salminen - Nov. 2012

71 Stochastic methods (2) State transitions have probabilities Bursty workload in Fig 9a) 0= no request/workload 1= request issued High probability (0.85) for several requests in a row Average request stream 1/(1-0.85) = 6.7 requests SR = workload SP = power modes #71/67 Erno Salminen - Nov. 2012

72 Stochastic methods (3) Power mode is changed with commands switch_on and switch_off Transition probabilities model the transition delay Even if switch_off is issued, transition does not occur immediately Advantages Possible to search global optimum Exact solution in polynomial time Strength and optimality of randomized policies Note Performance and power are expected values, no guarantees given Hard to obtain accurate Markov models #72/67 Erno Salminen - Nov. 2012

73 ACPI Advanced Configuration and Power Interface by Intel, Microsoft and Toshiba Defines interfaces between OS and HW Targets personal computers (PCs) #73/67 Erno Salminen - Nov. 2012

74 ACPI (2) Max wakeup time System has 4 global power states G0 = ON, G3=OFF Additional state legacy if devices don n support ACPI State G1 (sleeping) divided into 4 sub-states State G0 (ON) divided into 4 device states and 4 processor states OFF Min wakeup time ON #74/67 Erno Salminen - Nov. 2012

75 Case: ACPI with hard disk Power management SW takes <1% of time Wakeup power (52.5J / 7s) is larger than active power Due to inertia when disks start rotating Break-even time 17.6 sec Power reduction 23-55% active idle, disks rotating OFF #75/67 Erno Salminen - Nov. 2012

76 Case: Results T break-even sec #76/67 Erno Salminen - Nov. 2012

Department of Computer Systems. Remember the guest lecture+conclusions Wed in TB219 starting at 10:15

Department of Computer Systems. Remember the guest lecture+conclusions Wed in TB219 starting at 10:15 TKT-2431 Soc Design Lec 11 Energy consumption Erno Salminen Tampere University of Technology Fall 2011 Remember the guest lecture+conclusions Wed 23.11.2010 in TB219 starting at 10:15 Erno Salminen - Nov.

More information

VLSI Design 12. Design Styles

VLSI Design 12. Design Styles 1 9 8 5 1 9 8 7 1 9 9 1 1 9 9 3 1 9 9 9 2 0 0 1 2 0 0 5 2 0 0 7 2 0 0 9 Pr odu ctiv it y (T r an s./ St a f -M o nt h ) VLSI Design Last module: Floorplanning Sequential circuit design Clock skew This

More information

VLSI Design I; A. Milenkovic 1

VLSI Design I; A. Milenkovic 1 Review: The Regenerative Property V i V o V i V o CPE/EE 47, CPE 57 VLSI esign I : Sequential Circuits epartment of Electrical and Computer Engineering University of labama in Huntsville leksandar Milenkovic

More information

CPE/EE 427, CPE 527 VLSI Design I L21: Sequential Circuits. Review: The Regenerative Property

CPE/EE 427, CPE 527 VLSI Design I L21: Sequential Circuits. Review: The Regenerative Property CPE/EE 427, CPE 527 VLSI esign I L21: Sequential Circuits epartment of Electrical and Computer Engineering University of Alabama in Huntsville Aleksandar Milenkovic ( www.ece.uah.edu/~milenka ) www.ece.uah.edu/~milenka/cpe527-5f

More information

82C288 BUS CONTROLLER FOR PROCESSORS (82C C C288-8)

82C288 BUS CONTROLLER FOR PROCESSORS (82C C C288-8) BUS CONTROLLER FOR 80286 PROCESSORS (82C288-12 82C288-10 82C288-8) Y Provides Commands and Controls for Local and System Bus Y Wide Flexibility in System Configurations Y High Speed CHMOS III Technology

More information

Reduction of Bitstream Transfer Time in FPGA

Reduction of Bitstream Transfer Time in FPGA IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 9, Issue 2, Ver. III (Mar - Apr. 2014), PP 82-86 Reduction of Bitstream Transfer Time in

More information

GOLOMB Compression Technique For FPGA Configuration

GOLOMB Compression Technique For FPGA Configuration GOLOMB Compression Technique For FPGA Configuration P.Hema Assistant Professor,EEE Jay Shriram Group Of Institutions ABSTRACT Bit stream compression is important in reconfigurable system design since it

More information

Layout Design II. Lecture Fall 2003

Layout Design II. Lecture Fall 2003 Layout Design II Lecture 6 18-322 Fall 2003 Roadmap Today: Layout Verification & design in the large Next week: Transistor sizing Wires Homework 1: Due Today Homework 2: Out Today, Due Sept 18 Lab 2: This

More information

Computing s Energy Problem:

Computing s Energy Problem: Computing s Energy Problem: (and what we can do about it) Mark Horowitz Stanford University horowitz@ee.stanford.edu 1 of 46 Everything Has A Computer Inside 2of 46 The Reason is Simple: Moore s Law Made

More information

VLSI Design I; A. Milenkovic 1

VLSI Design I; A. Milenkovic 1 CPE/EE 427, CPE 527 VLSI esign I L2: ynamic Sequential Circuits epartment of Electrical and Computer Engineering University of Alabama in Huntsville Aleksandar Milenkovic ( www. ece.uah.edu/~milenka )

More information

Fail Operational Controls for an Independent Metering Valve

Fail Operational Controls for an Independent Metering Valve Group 14 - System Intergration and Safety Paper 14-3 465 Fail Operational Controls for an Independent Metering Valve Michael Rannow Eaton Corporation, 7945 Wallace Rd., Eden Prairie, MN, 55347, email:

More information

Decompression Method For Massive Compressed Files In Mobile Rich Media Applications

Decompression Method For Massive Compressed Files In Mobile Rich Media Applications 2010 10th IEEE International Conference on Computer and Information Technology (CIT 2010) Decompression Method For Massive Compressed Files In Mobile Rich Media Applications Houchen Li, Zhijie Qiu, Lei

More information

MIL-STD-883G METHOD

MIL-STD-883G METHOD STEADY-STATE LIFE 1. PURPOSE. The steady-state life test is performed for the purpose of demonstrating the quality or reliability of devices subjected to the specified conditions over an extended time

More information

Designing of Low Power and Efficient 4-Bit Ripple Carry Adder Using GDI Multiplexer

Designing of Low Power and Efficient 4-Bit Ripple Carry Adder Using GDI Multiplexer Designing of Low Power and Efficient 4-Bit Ripple Carry Adder Using GDI Multiplexer 1 Vinay.K.Kolur, 2 Pavankumar Kulkarni Department of EEE, BLDEA s CET Vijayapur Abstract The low power and less delay

More information

A Novel Decode-Aware Compression Technique for Improved Compression and Decompression

A Novel Decode-Aware Compression Technique for Improved Compression and Decompression A Novel Decode-Aware Compression Technique for Improved Compression and Decompression J. Suresh Babu, K. Tirumala Rao & P. Srinivas Department Of Electronics & Comm. Engineering, Nimra College of Engineering

More information

VLSI Design 14. Memories

VLSI Design 14. Memories Last module: Synthesis and Verilog This module Memory arrays SRMs Serial Memories Dynamic memories Memory rrays Memory rrays Random ccess Memory Serial ccess Memory Content ddressable Memory (CM) Read/Write

More information

CBC2 performance with switched capacitor DC-DC converter. systems meeting, 12/2/14

CBC2 performance with switched capacitor DC-DC converter. systems meeting, 12/2/14 CBC2 performance with switched capacitor DC-DC converter systems meeting, 12/2/14 1 reminder of results from CBC1 +2.5V GND 1n can power CBC from single +2.5V supply 1uF DC-DC diff. clock (CMOS) 1 MHz

More information

Profile-driven Selective Code Compression

Profile-driven Selective Code Compression Profile-driven Selective Code Compression Yuan Xie and Wayne Wolf Electrical Engineering Department Princeton University Princeton, NJ 08540, USA yuanxie,wolf@ee.princeton.edu Haris Lekatsas NEC USA 4

More information

Cloud, Distributed, Embedded. Erlang in the Heterogeneous Computing World. Omer

Cloud, Distributed, Embedded. Erlang in the Heterogeneous Computing World. Omer Cloud, Distributed, Embedded. Erlang in the Heterogeneous Computing World Omer Kilic @OmerK omer@erlang-solutions.com Outline Challenges in modern computing systems Heterogeneous computing Co-processors

More information

Autodesk Moldflow Communicator Process settings

Autodesk Moldflow Communicator Process settings Autodesk Moldflow Communicator 212 Process settings Revision 1, 3 March 211. Contents Chapter 1 Process settings....................................... 1 Profiles.................................................

More information

Stack Height Analysis for FinFET Logic and Circuit

Stack Height Analysis for FinFET Logic and Circuit 2015 Spring ECE 7332 Stack Height Analysis for FinFET Logic and Circuit Xinfei Guo & Qing Qin May 8 th, 2015 Outline Background & Motivation FinFET Design and Simulation Flow Stack Height Analysis A Case

More information

SAPCON. User Manual. Capacitance Continuous Level Indicator. . Introduction. . General Description. . Principle of Operation. .

SAPCON. User Manual. Capacitance Continuous Level Indicator. . Introduction. . General Description. . Principle of Operation. . User Manual Capacitance Continuous Level Indicator Comprehensive User s Manual. Introduction. General Description. Principle of Operation. Specifications. Connection Diagrams. Quick Calibration Chart.

More information

Surfing Interconnect

Surfing Interconnect Surfing Interconnect Mark R. Greenstreet and Jihong Ren University of British Columbia, Rambus Surfing Interconnect p.1/17 Motivation Wires are the problem: Wires scale poorly with feature size: Gate delays

More information

Adiabatic Switching. A Survey of Reversible Computation Circuits. Benjamin Bobich, 2004

Adiabatic Switching. A Survey of Reversible Computation Circuits. Benjamin Bobich, 2004 Adiabatic Switching A Survey of Reversible Computation Circuits Benjamin Bobich, 2004 Agenda for Today 1. The Basics of Adiabatic Logic and the Fundamentals of Adiabatic Charging 2. Early Adiabatic Circuit

More information

HW #5: Digital Logic and Flip Flops

HW #5: Digital Logic and Flip Flops HW #5: Digital Logic and Flip Flops This homework will walk through a specific digital design problem in all its glory that you will then implement in this weeks lab. 1 Write the Truth Table (10 pts) Consider

More information

Distributed Power Management: Technical Deep Dive + Real World Example

Distributed Power Management: Technical Deep Dive + Real World Example Distributed Power Management: Technical Deep Dive + Real World Example Breakout Session # TA2197 Anne Holler Anthony Vecchiolla VMware Engineering International Integrated Solutions Date: September 18,

More information

A Study on Algorithm for Compression and Decompression of Embedded Codes using Xilinx

A Study on Algorithm for Compression and Decompression of Embedded Codes using Xilinx A Study on Algorithm for Compression and Decompression of Embedded Codes using Xilinx M.Mohana Soundarya 1, Dr.S.Jayachitra 2 1 PG Scholar, Department of ECE, Vivekanandha College of Engineering for Women,

More information

CS 341 Computer Architecture and Organization. Lecturer: Bob Wilson Cell Phone: or

CS 341 Computer Architecture and Organization. Lecturer: Bob Wilson Cell Phone: or CS 341 Computer Architecture and Organization Lecturer: Bob Wilson Cell Phone: 508-577-9895 Email: robert.wilson@umb.edu or bobw@cs.umb.edu 1 Welcome to CS341 This course teaches computer architecture

More information

CPE/EE 427, CPE 527 VLSI Design I L06: Complementary CMOS Logic Gates

CPE/EE 427, CPE 527 VLSI Design I L06: Complementary CMOS Logic Gates PE/EE 427, PE 527 VLSI esign I L6: omplementary MOS Logic Gates epartment of Electrical and omputer Engineering University of labama in Huntsville leksandar Milenkovic ( www.ece.uah.edu/~milenka ) www.ece.uah.edu/~milenka/cpe527-5f

More information

EEC 686/785 Modeling & Performance Evaluation of Computer Systems. Lecture 6. Wenbing Zhao. Department of Electrical and Computer Engineering

EEC 686/785 Modeling & Performance Evaluation of Computer Systems. Lecture 6. Wenbing Zhao. Department of Electrical and Computer Engineering EEC 686/785 Modeling & Performance Evaluation of Computer Systems Lecture 6 Department of Electrical and Computer Engineering Cleveland State University wenbing@ieee.org Outline 2 Review of lecture 5 The

More information

Design of Low Power and High Speed 4-Bit Ripple Carry Adder Using GDI Multiplexer

Design of Low Power and High Speed 4-Bit Ripple Carry Adder Using GDI Multiplexer Design of Low Power and High Speed 4-Bit Ripple Carry Adder Using GDI Multiplexer Yemmiganur. Sowmya, Department of ECE,Reva University, Bangalore soumyaymgr@gmail.com Raganna. A Department of ECE, Reva

More information

Physical Design of CMOS Integrated Circuits

Physical Design of CMOS Integrated Circuits Physical Design of CMOS Integrated Circuits Dae Hyun Kim EECS Washington State University References John P. Uyemura, Introduction to VLSI Circuits and Systems, 2002. Chapter 5 Goal Understand how to physically

More information

Outline. Terminology. EEC 686/785 Modeling & Performance Evaluation of Computer Systems. Lecture 6. Steps in Capacity Planning and Management

Outline. Terminology. EEC 686/785 Modeling & Performance Evaluation of Computer Systems. Lecture 6. Steps in Capacity Planning and Management EEC 686/785 Modeling & Performance Evaluation of Computer Systems Lecture 6 Department of Electrical and Computer Engineering Cleveland State University wenbing@ieee.org Outline Review of lecture 5 The

More information

SCIENTIFIC DATA SYSTEMS, INC. Depth Tension Line Speed Panel. DTLS Manual

SCIENTIFIC DATA SYSTEMS, INC. Depth Tension Line Speed Panel. DTLS Manual SCIENTIFIC DATA SYSTEMS, INC. Depth Tension Line Speed Panel DTLS Manual This document contains proprietary information. Copyright 2015 Scientific Data Systems, Inc. All rights reserved. 1 Depth Tension

More information

Connect with Confidence NO POWER NO PROBLEM

Connect with Confidence NO POWER NO PROBLEM Connect with Confidence NO POWER NO PROBLEM The ideal solution to implement wireless sensor monitoring in IoT applications where power is not available. At last, there s a roll-out ready way to implement

More information

D-Case Modeling Guide for Target System

D-Case Modeling Guide for Target System D-Case Modeling Guide for Target System 1/32 Table of Contents 1 Scope...4 2 Overview of D-Case and SysML Modeling Guide...4 2.1 Background and Purpose...4 2.2 Target System of Modeling Guide...5 2.3 Constitution

More information

SPD Pressure Sensor Families

SPD Pressure Sensor Families DATASHEET SPD Pressure Sensor Families 1/7 Introduction to the principals of Smart Pressure Devices 1 Basic principles Pressure sensors are based on the principle of bending a membrane caused by the pressure

More information

Post-Placement Functional Decomposition for FPGAs

Post-Placement Functional Decomposition for FPGAs Post-Placement Functional Decomposition for FPGAs Valavan Manohararajah, Deshanand P. Singh, Stephen D. Brown and Zvonko G. Vranesic Altera Toronto Technology Center 151 Bloor Street West, Suite 200 Toronto,

More information

Compensator Design for Speed Control of DC Motor by Root Locus Approach using MATLAB

Compensator Design for Speed Control of DC Motor by Root Locus Approach using MATLAB Compensator Design for Speed Control of DC Motor by Root Locus Approach using MATLAB Akshay C. Mahakalkar, Gaurav R. Powale 2, Yogita R. Ashtekar 3, Dinesh L. Mute 4, 2 B.E. 4 th Year Student of Electrical

More information

Modeling of Hydraulic Hose Paths

Modeling of Hydraulic Hose Paths Mechanical Engineering Conference Presentations, Papers, and Proceedings Mechanical Engineering 9-2002 Modeling of Hydraulic Hose Paths Kurt A. Chipperfield Iowa State University Judy M. Vance Iowa State

More information

Author s Name Name of the Paper Session. Positioning Committee. Marine Technology Society. DYNAMIC POSITIONING CONFERENCE September 18-19, 2001

Author s Name Name of the Paper Session. Positioning Committee. Marine Technology Society. DYNAMIC POSITIONING CONFERENCE September 18-19, 2001 Author s Name Name of the Paper Session PDynamic Positioning Committee Marine Technology Society DYNAMIC POSITIONING CONFERENCE September 18-19, 2001 POWER PLANT SESSION A New Concept for Fuel Tight DP

More information

PositionMaster EDP300 Extended Diagnostics. Compact, well-proven, and flexible

PositionMaster EDP300 Extended Diagnostics. Compact, well-proven, and flexible Change from one to two columns Technical Information TI/EDP300_ED-EN Rev. A PositionMaster EDP300 Extended Diagnostics Compact, well-proven, and flexible The benefits of the extended diagnostics feature

More information

Software for electronic scorekeeping of volleyball matches, developed and distributed by:

Software for electronic scorekeeping of volleyball matches, developed and distributed by: Software for electronic scorekeeping of volleyball matches, developed and distributed by: Developed for the rules of USports 2017-18 As adopted by Ontario University Athletics for Men s & Women s Volleyball

More information

Scaling. Krish Chakrabarty 1. Scaling

Scaling. Krish Chakrabarty 1. Scaling Scaling Transistors Interconnect Future Challenges Krish Chakrabarty 1 Scaling The only constant in VLSI is constant change Feature size shrinks by 30% every 2-3 years Transistors become cheaper Transistors

More information

Safety Manual VEGAVIB series 60

Safety Manual VEGAVIB series 60 Safety Manual VEGAVIB series 60 Contactless electronic switch Document ID: 32002 Contents Contents 1 Functional safety... 3 1.1 General information... 3 1.2 Planning... 4 1.3 Adjustment instructions...

More information

Assertion-Based Verification

Assertion-Based Verification 1 Assertion-Based Verification Harry D. Foster Chief Scientist Verification IC Verification Solutions Division February 2018 Outline How Verification is Done Today What Makes Verification Difficult Observability

More information

Technology. In the My Files [My Files] submenu you can store all the programs that you have made on the NXT or downloaded from your computer.

Technology. In the My Files [My Files] submenu you can store all the programs that you have made on the NXT or downloaded from your computer. NXT Main Menu My Files Files are automatically placed into the appropriate folders. When you download a program using a Sound file to the NXT, the program will be placed under Software files while the

More information

The benefits of the extended diagnostics feature. Compact, well-proven, and flexible

The benefits of the extended diagnostics feature. Compact, well-proven, and flexible ABB MEASUREMENT & ANALYTICS TECHNICAL INFORMATION PositionMaster EDP300 Extended Diagnostics Compact, well-proven, and flexible The benefits of the extended diagnostics feature The PositionMaster EDP300

More information

Implementation of Modern Traffic Light Control System

Implementation of Modern Traffic Light Control System International Journal of Scientific and Research Publications, Volume 4, Issue 6, June 2014 1 Implementation of Modern Traffic Light System Nang Hom Kham *, Chaw Myat Nwe ** * Department of Electronic

More information

Part of the slides. Part of figures from. adapted reused from slides by Alberto Sangiovanni- Vincentelli

Part of the slides. Part of figures from. adapted reused from slides by Alberto Sangiovanni- Vincentelli TKT-2431 Soc Design Lec 2 Reuse of IP components Erno Salminen, Tero Arpinen Department of Computer Systems Tampere University of Technology Fall 2010 Erno Salminen - Sep. 2010 Copyright notice Part of

More information

Operating instructions Electrical switching facility pco

Operating instructions Electrical switching facility pco Operating instructions Electrical switching facility pco from software version V1.33 on TABLE OF CONTENTS 1. Before you start... 4 1.1 Brief description... 4 1.2 Using this manual... 4 2. pco integrated

More information

VLSI Design I; A. Milenkovic 1

VLSI Design I; A. Milenkovic 1 State Registers Review: Sequential efinitis CPE/EE 427, CPE 527 VLSI esign I L2: ynamic Sequential Circuits epartment of Electrical and Computer Engineering University of labama in Huntsville leksandar

More information

Application Note AN-107

Application Note AN-107 SPEC Sensor TM Characterization & Calibration Considerations Scope This document is provided to describe the considerations needed to characterize, calibrate, verify and validate the measurement performance

More information

Using MATLAB with CANoe

Using MATLAB with CANoe Version 2.0 2017-03-09 Application Note AN-IND-1-007 Author Restrictions Abstract Vector Informatik GmbH Public Document This application note describes the usage of MATLAB /Simulink combined with CANoe.

More information

On the Impact of Garbage Collection on flash-based SSD endurance

On the Impact of Garbage Collection on flash-based SSD endurance On the Impact of Garbage Collection on flash-based SSD endurance Robin Verschoren and Benny Van Houdt Dept. Mathematics and Computer Science University of Antwerp Antwerp, Belgium INFLOW 16 Robin Verschoren

More information

sorting solutions osx separator series

sorting solutions osx separator series osx separator series Comex offers a newly developed complex optical sorting system, in a user friendly platform, for the identification and separation of particles and materials, based on their many physical

More information

JOLLY2. Installation user s manual. 6 different operating modes selectable. version 3.3. DATA TO BE FILLED OUT BY THE INSTALLER (Page 1)

JOLLY2. Installation user s manual. 6 different operating modes selectable. version 3.3. DATA TO BE FILLED OUT BY THE INSTALLER (Page 1) ENGLISH ENGLISH ENGLISH ENGLISH Installation user s manual Warning! electrical scheme modified JANUARY 2005 version 3.3 JOLLY2 DATA TO BE FILLED OUT BY THE INSTALLER (Page 1) 6 different operating modes

More information

S-CO 2 Brayton Recompression Loop Design and Control

S-CO 2 Brayton Recompression Loop Design and Control S-CO 2 Brayton Recompression Loop Design and Control 1) Background 2) Recommended Design Features 3) Modeling Strategy IST Model Changes Transient Results Prepared by: Mike Hexemer Advanced Concepts Knolls

More information

FUEL GAS FIRING CONTROL RJ (Dick) Perry Safety Systems Consultant 6 June 2016

FUEL GAS FIRING CONTROL RJ (Dick) Perry Safety Systems Consultant 6 June 2016 INTRODUCTION Fired equipment such as Heaters and Boilers, normally have to comply with either NFPA 85/86 or API 556 for North America and some other countries which apply such standards, or EN 746-2 for

More information

The Future of Hydraulic Control in Water-Systems

The Future of Hydraulic Control in Water-Systems The Future of Hydraulic Control in Water-Systems A. Heimann Manager of R&D and of Technical Support & Applications Engineering departments at Dorot Automatic Control Valves Dorot Control Valves, Kibbutz

More information

LOCOMOTION CONTROL CYCLES ADAPTED FOR DISABILITIES IN HEXAPOD ROBOTS

LOCOMOTION CONTROL CYCLES ADAPTED FOR DISABILITIES IN HEXAPOD ROBOTS LOCOMOTION CONTROL CYCLES ADAPTED FOR DISABILITIES IN HEXAPOD ROBOTS GARY B. PARKER and INGO CYLIAX Department of Computer Science, Indiana University, Bloomington, IN 47405 gaparker@cs.indiana.edu, cyliax@cs.indiana.edu

More information

STAND alone & p.c. VERSION

STAND alone & p.c. VERSION STAND alone & p.c. VERSION ECONOMY TRACK SYSTEMS 1/4 & 1/8 Mile Asphalt Track Dirt / Sand Track Mud Track Snowmobile Track R/C Car Track Custom Track Systems Timing to.001 Accuracy Time Slip Printer Optional

More information

Mitos Fluika Pressure and Vacuum Pumps Datasheet

Mitos Fluika Pressure and Vacuum Pumps Datasheet Unit 1, Anglian Business Park, Orchard Road, Royston, Hertfordshire, SG8 5TW, UK T: +44 (0)1763 242491 F: +44 (0)1763 246125 E: sales@dolomite-microfluidics.com W: www.dolomite-microfluidics.com Dolomite

More information

Application Notes. SLP85xD Load Cells

Application Notes. SLP85xD Load Cells Application Notes Load Cells Table of Contents 1 Introduction 3 2 Description of the Filling Cycle 4 3 Filling Optimization 7 4 Filling Monitor 8 4.1 Weight-Based Filling Monitor... 8 4.2 Time-Based Filling

More information

UNIVERSITY OF WATERLOO

UNIVERSITY OF WATERLOO UNIVERSITY OF WATERLOO Department of Chemical Engineering ChE 524 Process Control Laboratory Instruction Manual January, 2001 Revised: May, 2009 1 Experiment # 2 - Double Pipe Heat Exchanger Experimental

More information

RICK FAUSEL, BUSINESS DEVELOPMENT ENGINEER TURBOMACHINERY CONTROL SYSTEM DESIGN OBJECTIVES

RICK FAUSEL, BUSINESS DEVELOPMENT ENGINEER TURBOMACHINERY CONTROL SYSTEM DESIGN OBJECTIVES RICK FAUL, BUSINESS DEVELOPMENT ENGINEER TURBOMACHINERY CONTROL SYSTEM DESIGN OBJECTIVES The primary design objective for any turbomachinery control system should be to maintain or maximize machine and

More information

AC : MEASUREMENT OF HYDROGEN IN HELIUM FLOW

AC : MEASUREMENT OF HYDROGEN IN HELIUM FLOW AC 2010-2145: MEASUREMENT OF HYDROGEN IN HELIUM FLOW Randy Buchanan, University of Southern Mississippi Christopher Winstead, University of Southern Mississippi Anton Netchaev, University of Southern Mississippi

More information

HASTAC High stability Altimeter SysTem for Air data Computers

HASTAC High stability Altimeter SysTem for Air data Computers HASTAC High stability Altimeter SysTem for Air data Computers André Larsen R&D Director Memscap Sensor Solution SIXTH FRAMEWORK PROGRAMME PRIORITY 4 Aeronautics and Space EC contract no. AST4-CT-2005-012334

More information

Emergent walking stop using 3-D ZMP modification criteria map for humanoid robot

Emergent walking stop using 3-D ZMP modification criteria map for humanoid robot 2007 IEEE International Conference on Robotics and Automation Roma, Italy, 10-14 April 2007 ThC9.3 Emergent walking stop using 3-D ZMP modification criteria map for humanoid robot Tomohito Takubo, Takeshi

More information

Proceedings of Meetings on Acoustics

Proceedings of Meetings on Acoustics Proceedings of Meetings on Acoustics Volume 9, 2010 http://acousticalsociety.org/ 159th Meeting Acoustical Society of America/NOISE-CON 2010 Baltimore, Maryland 19-23 April 2010 Session 1pBB: Biomedical

More information

A4 Operation Manual. Fig.1-1 Controller Socket Diagram

A4 Operation Manual. Fig.1-1 Controller Socket Diagram A4 Operation Manual Safety Instruction Please read this manual carefully, also with related manual for the machinery before use the controller. For installing and operating the controller properly and

More information

REASONS FOR THE DEVELOPMENT

REASONS FOR THE DEVELOPMENT 7 Series 7 Series +24VDC VDC OUTPUT MICROPROCESS. E P IN EXH OUT 7 Series 7 ø,8 8 7 Series 9 5 8 9 7 Series Display features The proportional regulator has a 3 /2 digit display and a three-pushbutton

More information

EE241 - Spring 2013 Advanced Digital Integrated Circuits. Assigned reading. No new reading. Lecture 4: Transistor Models

EE241 - Spring 2013 Advanced Digital Integrated Circuits. Assigned reading. No new reading. Lecture 4: Transistor Models EE41 - Spring 013 Advanced Digital Integrated ircuits ecture 4: Transistor Models Assigned reading No new reading 1 Outline ast lecture Features of modern technologies This lecture Transistor modeling

More information

Tokyo: Simulating Hyperpath-Based Vehicle Navigations and its Impact on Travel Time Reliability

Tokyo: Simulating Hyperpath-Based Vehicle Navigations and its Impact on Travel Time Reliability CHAPTER 92 Tokyo: Simulating Hyperpath-Based Vehicle Navigations and its Impact on Travel Time Reliability Daisuke Fukuda, Jiangshan Ma, Kaoru Yamada and Norihito Shinkai 92.1 Introduction Most standard

More information

Controlling the prefeeder

Controlling the prefeeder Controlling the prefeeder A prefeeder is a modulating device of some kind, controlling the material flow into the belt feeder infeed. You need it for three reasons: 1. The material can not be sheared at

More information

EFFECTIVE DESIGN OF CONVERTER HOODS. 111 Ferguson Ct. Suite 103 Irving, Texas U.S.A. 400 Carlingview Dr. Toronto, ON M9W 5X9 Canada.

EFFECTIVE DESIGN OF CONVERTER HOODS. 111 Ferguson Ct. Suite 103 Irving, Texas U.S.A. 400 Carlingview Dr. Toronto, ON M9W 5X9 Canada. EFFECTIVE DESIGN OF CONVERTER HOODS Paykan Safe 1, Sam Matson 1, and John Deakin 2 1 Gas Cleaning Technologies 111 Ferguson Ct. Suite 103 Irving, Texas 75062 U.S.A. 2 H.G. Engineering, Ltd. 400 Carlingview

More information

ENHANCED PARKWAY STUDY: PHASE 2 CONTINUOUS FLOW INTERSECTIONS. Final Report

ENHANCED PARKWAY STUDY: PHASE 2 CONTINUOUS FLOW INTERSECTIONS. Final Report Preparedby: ENHANCED PARKWAY STUDY: PHASE 2 CONTINUOUS FLOW INTERSECTIONS Final Report Prepared for Maricopa County Department of Transportation Prepared by TABLE OF CONTENTS Page EXECUTIVE SUMMARY ES-1

More information

A4s Operation Manual

A4s Operation Manual A4s Operation Manual Safety Instruction Please read this manual carefully, also with related manual for the machinery before use the controller. For installing and operating the controller properly and

More information

Electronic Automatic Transmission for Bicycle

Electronic Automatic Transmission for Bicycle Electronic Automatic Transmission for Bicycle Team 4 Tianqi Liu, Ruijie Qi, and Xingkai Zhou ECE 445 Project Proposal Spring 2018 TA: Hershel Rege 1 Introduction 1.1 Objective Nowadays, an increasing number

More information

Pneumatic high-pressure controller Model CPC7000

Pneumatic high-pressure controller Model CPC7000 Calibration technology Pneumatic high-pressure controller Model CPC7000 WIKA data sheet CT 27.63 Applications Healthcare and avionics industry Industry (laboratory, workshop and production) Transmitter

More information

Aerodynamic study of a cyclist s moving legs using an innovative approach

Aerodynamic study of a cyclist s moving legs using an innovative approach Aerodynamic study of a cyclist s moving legs using an innovative approach Francesco Pozzetti 30 September 2017 Abstract During a period of four weeks in September, I completed a research project in fluid

More information

Safety Manual OPTISWITCH series relay (DPDT)

Safety Manual OPTISWITCH series relay (DPDT) Safety Manual OPTISWITCH series 5000 - relay (DPDT) 1 Content Content 1 Functional safety 1.1 In general................................ 3 1.2 Planning................................. 5 1.3 Adjustment

More information

RELIABILITY ASSESSMENT, STATIC AND DYNAMIC RESPONSE OF TRANSMISSION LINE TOWER: A COMPARATIVE STUDY

RELIABILITY ASSESSMENT, STATIC AND DYNAMIC RESPONSE OF TRANSMISSION LINE TOWER: A COMPARATIVE STUDY RELIABILITY ASSESSMENT, STATIC AND DYNAMIC RESPONSE OF TRANSMISSION LINE TOWER: A COMPARATIVE STUDY Yusuf Mansur Hashim M. Tech (Structural Engineering) Student, Sharda University, Greater Noida, (India)

More information

A 64 Bit Pipeline Based Decimal Adder Using a New High Speed BCD Adder

A 64 Bit Pipeline Based Decimal Adder Using a New High Speed BCD Adder A 64 Bit Pipeline Based Decimal Adder Using a New High Speed BCD Adder Rahul Jain 1, Khushboo Singh 2, Ghanshyam Jangid 3 1 Assistant Professor GIT College Jaipur, Rajasthan, India 2 M.Tech Student, Suresh

More information

Pneumatic high-pressure controller Model CPC7000

Pneumatic high-pressure controller Model CPC7000 Calibration technology Pneumatic high-pressure controller Model CPC7000 WIKA data sheet CT 27.63 Applications Automotive and avionics industry Industry (laboratory, workshop and production) Transmitter

More information

Influence of rounding corners on unsteady flow and heat transfer around a square cylinder

Influence of rounding corners on unsteady flow and heat transfer around a square cylinder Influence of rounding corners on unsteady flow and heat transfer around a square cylinder S. K. Singh Deptt. of Mech. Engg., M. B. M. Engg. College / J. N. V. University, Jodhpur, Rajasthan, India Abstract

More information

Session Objectives. At the end of the session, the participants should: Understand advantages of BFD implementation on S9700

Session Objectives. At the end of the session, the participants should: Understand advantages of BFD implementation on S9700 BFD Features Session Objectives At the end of the session, the participants should: Understand advantages of BFD implementation on S9700 Understand when to use BFD on S9700 1 Contents BFD introduction

More information

COMPRESSOR PACK SUCTION CONTROLLER TYPE: LP41x

COMPRESSOR PACK SUCTION CONTROLLER TYPE: LP41x Electrical Installation Requirements Care should be taken to separate the power and signal cables to prevent electrical interference and possible damage due to inadvertent connection. SUPPLY E L N LN2

More information

EVOLVING HEXAPOD GAITS USING A CYCLIC GENETIC ALGORITHM

EVOLVING HEXAPOD GAITS USING A CYCLIC GENETIC ALGORITHM Evolving Hexapod Gaits Using a Cyclic Genetic Algorithm Page 1 of 7 EVOLVING HEXAPOD GAITS USING A CYCLIC GENETIC ALGORITHM GARY B. PARKER, DAVID W. BRAUN, AND INGO CYLIAX Department of Computer Science

More information

Upgrading Vestas V47-660kW

Upgrading Vestas V47-660kW Guaranteed performance gains and efficiency improvements Upgrading Vestas V47-660kW Newly developed controller system enables increased Annual Energy Production up to 6.1% and safe turbine lifetime extension

More information

Queue analysis for the toll station of the Öresund fixed link. Pontus Matstoms *

Queue analysis for the toll station of the Öresund fixed link. Pontus Matstoms * Queue analysis for the toll station of the Öresund fixed link Pontus Matstoms * Abstract A new simulation model for queue and capacity analysis of a toll station is presented. The model and its software

More information

Safety-critical systems: Basic definitions

Safety-critical systems: Basic definitions Safety-critical systems: Basic definitions Ákos Horváth Based on István Majzik s slides Dept. of Measurement and Information Systems Budapest University of Technology and Economics Department of Measurement

More information

An Architecture of Embedded Decompressor with Reconfigurability for Test Compression

An Architecture of Embedded Decompressor with Reconfigurability for Test Compression IEICE TRANS. INF. & SYST., VOL.E91 D, NO.3 MARCH 2008 713 PAPER Special Section on Test and Verification of VLSIs An Architecture of Embedded Decompressor with Reconfigurability for Test Compression Hideyuki

More information

Sorensen DCS Series. 1 3 kw V A. General Purpose Systems Power Supply

Sorensen DCS Series. 1 3 kw V A. General Purpose Systems Power Supply Sensen DCS Series General Purpose Systems Power Supply High power density / low ripple and noise High programming resolution with Ethernet interface Constant voltage and current mode Remote sensing Isolated

More information

Simple Time-to-Failure Estimation Techniques for Reliability and Maintenance of Equipment

Simple Time-to-Failure Estimation Techniques for Reliability and Maintenance of Equipment F E A T U R E A R T I C L E Simple Time-to-Failure Estimation Techniques for Reliability and Maintenance of Equipment Key Words: Reliability and maintenance, time-to-failure estimation, reactive maintenance

More information

Application Block Library Fan Control Optimization

Application Block Library Fan Control Optimization Application Block Library Fan Control Optimization About This Document This document gives general description and guidelines for wide range fan operation optimisation. Optimisation of the fan operation

More information

University of Cincinnati

University of Cincinnati Mapping the Design Space of a Recuperated, Recompression, Precompression Supercritical Carbon Dioxide Power Cycle with Intercooling, Improved Regeneration, and Reheat Andrew Schroder Mark Turner University

More information

Built-in Purge Control Functions

Built-in Purge Control Functions Built-in Purge Control Functions Why clean a sensor? As the velocity sensor was calibrated clean, operating it clean also preserves the best calibration. Any build up of material on a thermal sensor tends

More information

Roller AC Servo System

Roller AC Servo System Safely Instruction Roller AC Servo System HMI-15 User Manual Please read this manual carefully, also with related manual for the machinery before use the controller. For installing and operating the controller

More information

SPE The paper gives a brief description and the experience gained with WRIPS applied to water injection wells. The main

SPE The paper gives a brief description and the experience gained with WRIPS applied to water injection wells. The main SPE 102831 Online Water-Injection Optimization and Prevention of Reservoir Damage Bjørn Øyvind Bringedal, Svein Arne Morud, Nicolas Alexander Hall, ABB; Gary Huseman, Shell Copyright 2006, Society of Petroleum

More information